Microsoft Word - Pt6min

Suurus: px
Alustada lehe näitamist:

Download "Microsoft Word - Pt6min"

Väljavõte

1 1 6 DIGIAALMODULASIOON 6.1 Üldis Digiaalmodulasiooni korral moduleeriakse pideva kandevõnkumis cos(ω c ) digiaalsümoleid kandva signaaliga. Signaal olene sümolies a k, millel on piiraud arv võimalikke väärusi (piiraud pikkusega alfaee). Binaarsel juhumil on sümoli a k väärus kas või 1. Kandesignaali moduleerimine oimu nii, e manipuleeriakse mingisugus signaali parameeri kahe võimaliku vääruse vahel: kandelaine ampliuudi (ampliuudmanipulasioon, ka diskreeampliuud-modulasioon, ampliudeshif keying ASK); kandelaine sagedus (sagedusmanipulasioon, ka diskreesagedus-modulasioon, frequency-shif keying FSK); kandelaine faasinurka (faasmanipulasioon, ka diskreefaas-modulasioon, phase-shif keying PSK). Binaarsümolid Põhiriasignaal ASK-signaal FSK-signaal PSK-signaal Keerukamal juhumil mõjuaakse näieks korraga nii ampliuudi kui faasi (QAM variandid). Digiaalmoduleerimine sisalda kahe osa: digiaalsümoleid kandva signaali eisendus analoogsignaaliks (mapping); analoog-moduleerimine. Demoduleerimisel oimu vasassuunaline eisendus: analoog-demoduleerimine; eisendus digiaalsümolieks (deekeerimine). Demoduleerimine on digiaalmodulasiooni korral võimalik kahel viisil: koherensel demoduleerimisel on signaali faas vasuvõja poolel eada ning seda kasuaakse; miekoherensel juhumil oimu demoduleerimine ilma signaali faasi eadmaa. A. Meiser, Modulasioon, RSI, Ü 9

2 6. Digiaalmodulasiooniga signaalide spekraalihedus Paljudel juhudel saa moduleerimis esiada põhiriasignaali ja kandesignaali korruisena: x m ()=A x()* sin(ω c ). Sellele vasa signaali spekri nihe kandesageduse ω c = πf c võrra kõrgemale. Näieks NRZ-formaadis ühepolaarse nelinurkimpulsi korral on võimsuse spekraalihedus G m A 4r f f r A 4 c ( f ) = sinc + δ ( f f ) c. Op. impulsi kuju korral kui β = r/ G m (f) ~ cos 4 [π(f-f c )/r] x() D D G(f) r = 1/D f G m (f) r f c Moduleeriud signaali spekris G m (f) vasa kandesageduse joonele δ-impulss. Spekri pealehe laius on määraud edasuskiirusega r ja on võrdne B = r. Lihsa seose õu vaadeldakse sageli vaid nn ekvivalense madalsageduslikku spekraalihedus G(f), mis paikne nullsageduse juures. Mõnikord saa spekri arvuuseks kasuada ka moduleeriud signaali kvadrauurkomponene, mis x = A x cos ω x sin ω. vasa esiusviisile ( ) [ ( ) ( ) ( ) ( )] m c c s Kui komponendid on saisilisel sõlumaud ja nende spekraalihedus on vasaval G c (f) ja G s (f), siis moduleeriud signaali x() võimsuse spekraalihedus on võrdne G [ ] A G f f G f f G f f G f f 4 ( f ) = ( ) + ( + ) + ( ) + ( + ) m c c c c s c s c [ c s ] ähisades G( f ) G ( f ) G ( f ) G = +, saame osiava spekraaliheduse leida G(f) kaudu: [ ] A G f f G f f 4 ( f ) = ( ) + ( + ) m c c. c. A. Meiser, Modulasioon, RSI, Ü 9

3 3 6.3 Ampliuudmanipulasioon Binaarse ampliuudmanipulasiooni (ASK) korral muuu kandelaine ampliuud vasaval inaarse sisendsignaali väärusele või 1. Lihsaim varian selleks on ampliuudi sisse- ja väljalüliamine (on-off keying OOK). Kui manipulasioon oimu NRZ-formaadis ning ampliuud on A, on ASK-signaali ekvivalenne madalsageduslik võimsuse spekraalihedus (v eelmine lk): G A f A = +. Järeldus: olene funksioonis sinc (f/r) 4r r 4 ( f ) sinc δ ( f ) Kõrgema järku (M-asemeline) ampliuudmanipulasioon M-ASK kasua suurema arvu ampliuudi väärusi. Allpool on näidaud 4ASK ja 8ASK, mis edasavad ühel sammul vasaval või 3 ii andmeid. 4ASK 8ASK Võimsuse spekraalihedus on kõigil ASK varianidel ühesugune ja nelinurkse impulsi kuju korral määraud funksiooniga sinc (). Spekri pealehe laius esimese nullide vahel on B = r. ASK vasuvõ nõua signaalide erisamis nende ampliuudi järgi. See on võimalik, kuid vaja signaali suuruse auomaase reguleerimis. Peamisel seeõu leia ASK vähe kasuamis. 6.4 Faasmanipulasioon PSK Binaarne faasmanipulasioon BPSK kasua ja 1 edasamiseks signaale, mis erinevad eineeises vaid faasinihke 18 o pooles, s on vasasfaasis: x o () = A sin(ω c ); x 1 () = A sin(ω c + π). P(z<) Kandja korruaakse sisendsignaaliga ±1. Signaali ampliuud selle juures ei muuu. 1 x() S S 1 A A z Spekri arvuamisel selgu, e see on samasuguse kujuga kui ASK korral. A. Meiser, Modulasioon, RSI, Ü 9

4 Faasmanipulasioon PSK edasa signaali faasi muuusena. Seeõu on vasuvõuks vajalik faasiundlik demoduleerimine. Vasuvõja vaja selleks äpse sagedusega ja faasiga ugipinge. Kui vasuvõja demodulaaori väljundis on signaali suurus ± A ja müra efekiivväärus on σ, siis vea õenäosus on normaaljaousega müra korral P e = P(z < ) = Q(A/σ) Kvadrauurne faasmanipulasioon QPSK kasua edasuseks kandesignaali nelja erineva faasi. See võimalda ühel sammul edasada ii andmeid. Signaal moodusaakse kvadrauurmodulaaori (IQ-modulaaori) ail. See kasua kandesignaali sünfaasse (inphase I) ja kvadrauurse (quadraure Q) komponendi eraldi moduleerimis, misjärel saadud signaalid liideakse. Kuna alai s I* cos(ω ) s Q* sin(ω ) = s + s cos( ω + ϕ ) I Q saa sellisel ekiada suvalise ampliuudiga ja faasiga pingeid. Valides soival signaalid s I ja s Q, saame IQ-modulaaoriga realiseerida mimeid digiaalmodulasiooni variane (QAM, QPSK jne). s I, Q cos(ω c ) Σ s Q φ s Q sin(ω c ) s I s I I Binaarne sisendsignaal jagaakse järjesik-paralleelse koodimuunduri KM ail kaks korda aeglasemaks kaksikiide (diiide) reaks. Igale kaksikiile leiakse aelis (look-up ale LU) vasavad signaalid s I ja s Q,, mille võimalikud väärused on +1 ja 1. Need juhivad vasaval sünfaasse (koosinus)kanali ja kvadrauurse (siinus)kanali. s I ± 1 IQ-modulaaor 1 s Q 11 a k KM L U cos(ω c ) s I s Q ± 1 sin(ω c ) 1 A. Meiser, Modulasioon, RSI, Ü 9

5 Olekudiagrammil (konsellasioonil) on kõrvui kaksikiid, mis erinevad eineeises vaid ühe ii pooles (Gray kood). See vähenda võimalikke vigasid. Signaali moodusamis IQ-modulaaoris näia järgmine joonis. Kuna kaksikii pikkus D =. siis sümolikiirurus on r = r /. 5 a k MSB LSB s I D s Q Vasuvõja pea erisama nelja faasi. Selleks kasuaakse kahe sünkrodeekori, mis eraldavad vasaval signaalid s I ja s Q. Mõlema deekori filri väljundpinge muuu oleneval edasaavas sümolis. Sümoli lõpphekel määraud signaali järgi leia loogika edasaava sümoli. Kui deekorie väljundpinge s I ja s Q kanalis on ±A ja müra efekiivväärus on σ, siis sümolivea õenäosus on normaaljaousega müra korral s Q P(s I < ) P(s I > ; s Q > ) P(s Q < ) s I P e = 1 P(s I >, s Q > ) = = 1 [1 P(s I < )]*[1 P(s Q < )] = P(s I < = 1 [1 Q(A/σ)] = = Q(A/σ) Q(A/σ) Q(A/σ). A A s A. Meiser, Modulasioon, RSI, Ü 9

6 Selle kaudu saame ka iivea õenäosuse P e =,5P e. φ φ faas 6 PSK ja QPSK spekri kuju on määraud funksiooniga sinc(f/r) nagu ASK korral. Moduleeriud signaali spekri pealehe laius on B = r. Edasaava võimsus kasuavad PSK ja QPSK aga paremini, kuna spekris puudu kandesagedusele f c vasav liideav Viiega QPSK Viiega QPSK (offse-keyed QPSK, OQPSK) korral viiakse kvadrauurkanalisse ajaline viide, mis on poole sümoli pikkune: D/ =. Seeõu ei muuu signaali komponendid s I ja s Q kunagi üheaegsel ja signaali ampliuudi hüpe on väiksem. Samui lihsusu dekodeerimine diferensiaalsel juhumil. x() 1111 s I () KM cos(ω c ) D/ s Q () Viide sin(ω c ) Käriud külgriaga QPSK ja QAM Käriud külgriaga QPSK ja QAM kasuavad ligikaudu korda kisama sagedusria. Selle saamiseks valiakse moduleeriva impulsi opimaalne kuju, peale moduleerimis aga eemaldaakse üks külgriades riafilri ail. G(f) Filer RF f cos(ω c ) f c 6.5 Diferensiaalne faasmanipulasioon Faasmanipulasioon edasa digiaalsignaali väärusi kandja faasina. Vasuvõul pea seeõu kasuama faasiundlikku deekori, mis vaja aga kandesignaali sageduse ja faasiga ugipinge. Kandja aasamise lüliused on analoogsed ampliuudmodulasiooni juures vaadeldud kvadraaoriga lüliuse või Cosase lüliusega. Nende omapäraks on aga faasi mieühesus väljundis. Üleminek diferensiaalsele faasmanipulasioonile DPSK võimalda kõrvaldada algfaasi määramause mõju. Sel juhul edasaakse vaid signaali muuusele vasav faasinihe, milles vasuvõja aasa esialgse digiaalsignaali. A. Meiser, Modulasioon, RSI, Ü 9

7 DPSK modulaaor DPSK modulaaor kasua viielüli, mis säilia eelmise ii vääruse. Loogikalülius summa mooduliga anna igal sammul välja signaali 1, kui sisendid on erinevad, ja signaali, kui sisendid on ühesugused. Edasi järgne üleminek polaarsele signaalile ±1 ning faasmanipulasioon. x k 1/ y k 1/ Nihe ±1 DPSK y k = x k y k-1 y k-1 Viide cos(ω c ) DPSK demodulaaor DPSK demodulaaor kasua kandja aasamislülius CR (carrier recovery CR), mis ekia demodulaaori ugipinge. Järgne digiaalsignaali regenereerimine (AD-muundamine), mida juhi sümoli sünkrosignaal. Sellega aasaakse inaarsignaal y k. Edasi järgne veel DPSK dekooder, kus on viielüli ja loogikaehe summa mooduliga. SD Regen er. y k 1/ z k CR sünkr o y k-1 z k = y k y k-1 On võimalik ka diferensiaalne dekodeerimine moduleeriud signaaliga. Selleks ule ekiada moduleeriud signaali viide ii pikkuse võrra. Seda kasuades saa ühendada demodulaaori ja dekooderi üheks seadmeks. X Regen er. z k 1/ Viide sünkr o 6.6 Diferensiaalne kvadrauur-faasmodulasioon π/4dqpsk Vaaleme QPSK modifikasiooni π/4dqpsk, mis saadakse kahe 45 o võrra faasis nihuaud QPSK kordamööda kasuamise eel. Signaali iga uus samm vii punki alai ühel QPSK-l eisele ja agasi. Sellega on signaali faasi muuuse arv piiraud (vaid ±45 o ja ±135 o ). Joonise parempoolsel pildil on A. Meiser, Modulasioon, RSI, Ü 9

8 ugeva joonega näidaud luaud üleminekud esimesel sammul, järgmised võimalikud üleminekud on aga näidaud punkiiriga QPSK I QPSK II π/4 QPSK 7 Sisendsignaali diiile vasavad faasi muuused on järgmised: : ϕ = 45 1 : ϕ = : ϕ = : ϕ = 45 Faasi väärus eelmises signaali punkis ϕ k-1 ja faasi muuus ϕ määravad uue faasi ϕ k. Järgne reaalosa ja imaginaarosa arvuus (avalisel digiaalkujul). Edasi saadakse jua analoogsignaalid s I ja s Q, mis juhivad IQ-modulaaori. Näide: cos(ω) ϕ arvuus ϕ k-1 D ϕ k D A C s I IQ s Q sin(ω) π/4dqpsk demodulaaori varian, milles kasuaakse diferensiaalse demodulaaori, on näidaud kõrval. X s I Modulasiooniviisi π/4dqpsk eeliseks D võrreldes QPSK-ga on, e igal Viide ii sünkro KM sammul muuu nii sünfaasse kui kvadrauurse komponendi faas, mis 9 o kergenda aksageduse aasamis vasuvõjas. Ka on ema spekraalefekiivsus ruujuur-koosinusfilri X s Q kasuamisel parem kui GMSK korral. Seeõu on π/4dqpsk varian praegu kasuusel paljudes sidesüseemides, näieks moiilelefonisüseemides NADC (USA) ja PDC (Jaapan). Uuem varian 3π/8DQPSK (EDGE) kasua igal sammul 8 võimalikku faasi muuus ja edasa seega igal sammul 3 ii. A. Meiser, Modulasioon, RSI, Ü 9

9 9 6.7 Sagedusmanipulasioon Sagedusmanipulasiooni FSK korral muundaakse inaarse sisendjada x k väärused ja 1 vasaval signaalideks s () = Acos(ω ) ja s 1 () = Acos(ω 1 ). Bii pikkus on D = ning vasav infoedasuskiirus r =1/D. Signaali ampliuud ei muuu. f f 1 A f A D D D f f 1 f Sageduse vahe f = f 1 f pea olema küllal suur selleks, e võimaldada erisada ii väärusi vasuvõul. Sageduse valikul kasuaakse sageli lähedase sagedusega, kuid vasasikku orogonaalseid signaale. f f / f f / 3 f 3 f / Orogonaalsed misahes algfaasi korral Orogonaalsed, kui algfaas α = f f f = f = 1/ f =f / = 1/( ). Kaks signaali s 1 () ja s () on ajavahemiku välel orogonaalsed, kui inegraal ( ) s ( ) d s. 1 = Kui sageduse vahe f = 1/D, on signaalid s ja s 1 ajavahemiku D jooksul signaalide algfaasis olenemaa orogonaalsed. Biikiirus või sel juhul olla võrdne sageduse vahega: r = f = 1/D. Näieks kasuaakse elefonikanalis ööavaes modemies sagedusi 98 ja 118 Hz (pärisuunas) ning 165 ja 185 Hz (vasassuunas). Sageduse vahe Hz võimaldaks signaalide orogonaalsuse korral edasuskiirus Bd, reaalsel kasuaakse aga mõnevõrra suurema kiirus, näieks 3 Bd. äpsel eadaoleva algfaasi korral on aga signaalid orogonaalsed, kui f = 1/(). Seda kasuavad koherensed FSK vasuvõjad. ** Min. diferensiaalse energia ingimuses aga: f =,7/D ** A. Meiser, Modulasioon, RSI, Ü 9

10 1 Sageduse muumiseks on põhimõelisel kaks moodus (v joonis allpool): kahe sõlumau generaaori ümerlüliamine signaali vääruse ja 1 järgi; sagedusmodulasiooniga generaaori (VCO) juhimine signaali väärusega ja 1. Esimene moodus on lihsam realiseerida. eine moodus on keerukam, kuid aga faasi pidevuse (genereeriud signaalis ei ole kakesuskohi coninuous phase FSK CPFSK). 1/ 1 1/ 1 Generaaor 1 FSK VCO CPFSK Generaaor FSK-signaali vasuvõjas kasuaakse mimeid sagedusdeekori lüliusi. Lihsaim on filrie ja mähisjoone deekoriega lülius. Filrid F1 ja F on häälesaud vasaval sagedusele f 1 ja f, neile järgnevad deekorid. Komparaaor reageeri deekorie väljundpingee vahele. F1 MJD1 Komp F MJD sünkro äiuslikum on faasilukuga lülius, mille väljundpinge jälgi sisendsignaali sageduse muuusi. FSK-signaali on lihne vasu võa ilma kohaliku ugisignaalia, s miekoherensel. Seeõu on FSK kasuusel lihsaes ja öökindlaes modemies, mis ööavad elefoniliinidel. Gaussi FSK korral on sageduse muuumine ajas siluud Gaussi kõvera kujulis impulsskaja omava filriga. FSK-Blueooh: modulasioon GFSK, B =.5, deviasioon ±115 khz, f = 3 khz. M-FSK kasua edasuseks suurema arvu sagedusi. 4FSK-Blueooh ( ii sümolis): 1 = 5 khz, 11 = 75 khz, 1 = 75 khz, = 5 khz (Gray kood); 8FSK (3 ii sümolis). FSK-signaali speker on mõnevõrra laiem kui ASK ja PSK korral. Selle põhjuseks on, e siin sagedus muuu. Spekri pealehe laius esimese nullide vahel on B = 3r (võrdle suurusega r ASK ja PSK jaoks eespool). Moduleeriud signaali spekris on δ-impulsid sagedusel f ja f 1. G ( f ) = 8E π cos ( πf ) ( 1 4 f ) E + δ f 1 + G m (f) f = r B = 3r f c 3/r f f c f 1 f c +3/r f A. Meiser, Modulasioon, RSI, Ü 9

11 Minimaalspekriga sagedusmanipulasioon Kui signaali faas on pidev, või kahe sagedusega lõikudes koosneva signaali ekiada nii, e sageduse vahe f on kaks korda väiksem kui FSK korral. Sellis modulasiooniviisi nimeaakse minimaalspekriga sagedusmanipulasiooniks (minimum shif keying MSK). Vaaleme MSK-signaali esius faasmodulasiooni kaudu: s() = cos[ω c + θ()]. Siin θ() on faasinurk, mis kasva või kahane lineaarsel ajas iga ii edasamise ajal: π h θ( ) = θ( ) ±,. Märk + vasa edasaava signaali sümolile 1 ja märk sümolile. Sageduse muuus f on määraud deviasioonisuhega h. Faasinurga muuus välel on ±πh. Sageduse kaks väärus on f 1 = f c + f/, ja f = f c f/, kus f c on kesksagedus ja f = πh/(π ). Joonis näia faasi muuusi ajas, kusjuures paremale ja üles liikumine vasa sümolile 1 (kõrgem sagedus f 1 ), paremale ja alla liikumine sümolile (madalam sagedus f ). Väljaspool vahemikku ±π on faas samaväärne mingi faasiga selles vahemikus. Igale inaarsignaalile vasa eaud ee joonisel. Faasinihke muuumis kujuava pili nimeaakse ka faasi võreks (relliseks). θ() πh πh πh πh π π θ() π π/ π/ π h = f/r =1/ Kui h = ½, siis ühe sammu jooksul ekkiv faasi muuus on ± π/ ning kahe sammuga võime jõuda maksimaalse faasinihkeni ± π. ugeva joonega näidaud faasinurga muuus vasa signaalile Faasinurga muuuse järgi saame määraa MSK-signaali kuju s(). a k θ π/ π/ π/ π/ π/ s c () f() f f f 1 f 1 f 1 s() A. Meiser, Modulasioon, RSI, Ü 9

12 1 MSK-signaali ekvivalenne madalsageduslik võimsuse spekraalihedus on G ( f ) 16A = π cos 1 16 f ( πf ). G m (f) MSK speker on kaks korda kisam kui FSK-l. Selles puuduvad komponendid sagedusel f ja f 1. Sageduse vahe on f = f 1 f = 1/( ) = r/, sageduse muuus f c suhes on vaid r/4. f = r/ B = 1,5r f MSK-signaal on esiaav ka kvadrauurkomponenide kaudu: f c,75r f f c f 1 f c +,75r s() = cos[θ() + ω c ] = = cosθ()*cos(ω c ) sinθ()*sin(ω c ). Sisendsignaalis oleneval muuu faasinurk θ() = θ() ± π/( ), kus märk "+" vasa sümolile 1 ja märk " " sümolile. Kvadrauurkomponenide muuumine ajas: ampliuudid muuuvad vasaval seaduse ± cos(π/ ) ja ± sin(π/ ) järgi; kummagi ees seisev märk ± olene moduleerivas signaalis. Mäluga modulasioon! Esimesel ja paariuel sammudel edasa signaali ii siinuskomponendi ampliuudi märk, paarissammudel aga koosinuskomponendi ampliuudi märk. Mõlemad märgid püsivad muuumauna kahe sammu välel. See võimalda MSK-signaali genereerida kvadrauurkomponenide kaudu, mis on äpsem kui osene faasinurga moduleerimine. MSK-signaali kodeerimine ja dekodeerimine: kooderis paiknev loogikalülius L määra sisendsignaalis ja faasinurga eelnevas suuruses lähudes signaali kvadrauurkomponenide märgid c 1 ja c, mis lähevad IQ-modulaaorile; dekooderis leiakse kvadrauurkomponenide märkide hinnangud kahe inegraaori ail, mis ööavad vasaval ajavahemikes... ja... ; inegreerimisaegade ülekae aga koosinusja siinuskomponenide määramise orogonaalsuse, loogikalülius aasa edasaava signaali. a k L cos(π/ ) c 1 ± c ± sin(π/ ) cos(ω c ) IQ sin(ω c ) cos(ω c ) sin(ω c ) cos(π/ ) sin(π/ ) I 1 L I a k A. Meiser, Modulasioon, RSI, Ü 9

13 Gaussi MSK MSK-signaali sagedusria laius saa vähendada, kui kasuada sagedusmanipulasiooni asemel sageduse sujuva muumis. Osarekas on moduleeriva signaali öölemine nn Gaussi filri ail. Vasav MSK versioon kanna nimeus Gaussi MSK (GMSK). Filri impulsskaja on Gaussi kõvera kujuline: h ( ) exp ( ) π h = B,7 ln σ, ja ASK on kus h on kaussaalsus agav ajaline hilisumine (avalisel 3 ), 1/(σ ) = ln(πb,7 ), B,7 on filri sageduskarakerisiku põhirialaius asemel 3 db. GMSK-signaali saa pingega juhiava generaaori VCO ail, mille sisendsignaal määra sageduse hekvääruse f(). Vasav faasi muuus on θ() = c f()d ning signaali hekväärus on s() = cosθ(). H ( f ) exp ln ( ) ( ) f B = Filer f() VCO GMSK-signaal Gaussi filri efekiivsus sõlu ema rialaiuses B, mille määra parameeer B. Näieks GSMmoiilelefonisüseemis on B =,3. Vaadeldud modulasiooniviis kanna sageli omaee ähisus,3gmsk. Ilma filria MSK korral on B. f() MSK GMSK B =,3 θ() π/ MSK GMSK B =,3 Joonisel on näidaud faasinurga θ() ja heksageduse f() ajaline muuus ühe ii koha. GMSK kõverad vasavad ligikaudu normeeriud rialaiusele B =,3. Neis on näha, e Gaussi filri oimel veniu ühe ii pikkune signaal umes 3 ii peale või enamgi. Sageduse ja faasi ajaline muuumine on MSK ja GMSK korral erinev. Kuna filer vähenda järske muuusi, siis vähene ka signaali spekri laius ja ema kaugemae osade ase. Sellega kaasne ugev sümoliinerferens, kuna signaali iile vasav sageduse ja faasi muuus oimu mime järgneva ii jooksul. A. Meiser, Modulasioon, RSI, Ü 9

14 GSM moiilelefonisüseemis kasuaakse modulasiooni,3gmsk ii pikkusega = 3,565 µs, millele vasa edasuskiirus r = 8 k/s. Ilma filreerimisea oleks spekri laius esimese nullide järgi 4 khz, Gaussi filri õu vähene aga kanali laius kuni khz. Helisignaali kodeerimise kiirus on 13 k/s, kodeerimisviis on RPE-LP. Kasuaav sagedusala: moiil ugijaam MHz, ugijaam moiil MHz M-asemeline modulasioon E õsa infoedasuskiirus ilma sagedusria suurendamaa, võeakse kasuusele mimeasemeline moduleerimine. See võimalda igas sümolis edasada miu ii, seega suurene infoedasuskiirus sama sagedusria laiuse juures, või sama infoedasuskiiruse juures kasuada kisama sagedusria M-asemeline ampliuudmanipulasioon (M-ASK) Signaalil s() on M väärus, millel on erinevad ampliuudid: s i () = A i p(). Impulsi kuju p() on kõigile signaalidele ühesugune. Energeeilises seisukohas on kõige efekiivsem ampliuudide sümmeeriline paiguus. Kui M = 4, saame joonisel näidaud olekudiagrammi. s 1 s s 3 s 4 A i : A 1 A A 3 A 4 Vasuvõjas on korrui-inegraaor ja nivoo komparaaor, mis vali välja ühe M võimalikus signaali vääruses. s() p() s d komparaaor i ~ M-asemeline ampliuudmanipulasioon vaja edasuskanali head lineaarsus. undlikkus ampliuudi muuusele nõua aga, e süseem kasuaks auomaase võimenduse reguleerimis. USA elevisioonisüseem (HDV) kasua 8-asemelis ASK variani, kus sagedusala piiramiseks on sisse viidud VSB ning põhirias oimuv formeerimine filri eguriga,111. Seda unakse modulasiooniviisina 8VSB M-asemeline faasmanipulasioon (M-PSK) M-PSK kasua signaale, mis erinevad ükseises vaid faasinurga pooles: s i π M π M ( ) = Acos ω + i +, kus i =... M 1. c Allpool on näidaud M = 8 olekudiagramm ja kvadrauurkomponenide s I ja s Q suurus. Kõik punkid paiknevad ringjoonel, mille raadius on võrdne ampliuudiga. A. Meiser, Modulasioon, RSI, Ü 9

15 15 45 o s Q s I 45 ο Vekorie s I ja s Q suurused 8-PSK modulaaoris muundaakse inaarne sisendsignaal koodimuunduri KM ail kolmeiiseks paralleelsignaaliks, mis juhi kahe aeli (look-up ale LU). aelie väljundis on vahesignaalid s I ja s Q, mis juhivad IQ-modulaaori. See väljasa jua 8-PSK signaali. LU s I cos(ω c ) KM LU s Q IQ-modulaaor sin(ω c ) Vasuvõjas eraldaakse kahe sünkrodeekori ail signaali kvadrauurkomponendid s I ja s Q, mille järgi arvuaakse faasinurk ja edasaud sümol M-asemeline kvadrauurmodulasioon Modulasiooniviis M-QAM (ka APSK) kasua nii signaali ampliuudi kui faasi muumis. Sellis signaali on võimalik ekiada IQ-modulaaoriga, kui juhida vasaval signaalide s I ja s Q suurus. Allpool on oodud ruu-paiguuse 16-QAM olekudiagramm, samui näidaud mõned variandid QAM: 6 3-CROSS: QAM: QAM (QASK) QAM-üüpi signaali saa ekiada ka lähudes ampliuudis ja faasis. Selliseid modulasiooniviise nimeaakse ka AP-üüpi modulasiooniks. Keerukam muliresolusioon-konsellasioon. A. Meiser, Modulasioon, RSI, Ü 9

16 16 Konsellasioonid ja osususpiirkonnad Konsellasioonis lähudes saa arvuada vasuvõul ekkiva vea õenäosuse. QPSK 4 kvadrani P e = Q(A/σ) Q(A/σ) Q(A/σ). 16QAM: a) ruudud 4 k ) välisnurgad 4 k c) välisküljed 8 k 8PSK sekorid 45 o Vasuvõja väljundi konsellasioon kirjelda ilmekal signaali punkide hajumis häire õu. Näieks allpool on oodud QPSK punkide hajumine kahe erineva häire suuruse korral. A. Meiser, Modulasioon, RSI, Ü 9

17 Spekraalefekiivus Modulasiooniviisi spekraalefekiivsus on näiaja, mis on määraud kui iikiiruse r ja signaali rialaiuse B suhe: r / s ρ =. B Hz Keerulise spekri kuju juures või rialaiuseks B võa spekri pealehe laiuse. M-PSK korral on spekri pealehe järgi määraud rialaius B = /D, kus D on sümoli kesus. Kuna sümoli kesus on D = log M, siis rialaius avaldu iikiiruse r kaudu järgmisel: r r B = =, ja ρ = log M log M B log M =. M-FSK korral on minimaalne sageduse erinevus 1/(D), kui kasuaakse orogonaalsee signaalide koherense eraldamis. Seeõu on kogu signaali rialaius ligikaudu võrdne B = M/(D). Arvesades, e D = log M, saame sii r M r B = ja ρ = = log M B log M M. f 1 f f f 1 f M f 1/(D) B Kui M = korral ρ = 1, siis M suurenedes spekraalefekiivsus vähene. Seeõu on M-FSK suhelisel vähe kasuusel. 1 ρ Ideaalses süseemis on maksimaalne võimalik edasuskiirus piiraud signaali võimsuse P ja müra võimsuse ηb suhega 1-1,6 PSK db FSK E/η P /(ηb) = E/η. Sellele vasa suurim võimalik spekraalefekiivsus (Shannon): ρ max = log (1 + P/(ηB)).,1 Kõige efekiivsemad modulasiooniviisid oleks sellel joonisel palju lähemal Shannoni piirile. Neis on praegu kasuusel relliskood-modulasioon (rellis-coded modulaion CM), mis on unud ka selle auori Ungeroecki nime järgi. A. Meiser, Modulasioon, RSI, Ü 9

18 6. Digiaalmodulasioon Koherenne vasuvõ Põhimõe Koherenne vasuvõ vasuvõja ea kandja sagedus ja faasi, kasua neid demoduleerimisel. Miekoherenne vasuvõ kandja sagedus ja faasi ei kasuaa demoduleerimisel. Vasuvõjasse sisene kasulik signaal s(), millele liiu normaaljaousega valge müra n(). Binaarsignaali vasuvõ sümolile 1 ja vasa sisendsignaali s m () kuju s 1 () või s (). Signaali ja müra summa demoduleeriakse. Järgne riafiler ning hoidelüli, mis eralda filri väljundsignaalis y() ii lõpphekele vasava vääruse z = y( ). Selle alusel aasa deekor (komparaaor) signaali ii õenäosema vääruse 1 või. s 1 () y() y( )= z s o () s m ()+n() Demod Filer SH Komp 1/ kandja h() ii sünkro nivoo V Filri väljundsignaal (arvesades ka demodulaaori ülekanne) on y m ( ) = [ sm ( ) + n( ) ] h( ) ym ( ) = [ sm ( ) + n( ) ] h( ) = zm ; ; m =, 1. Mürakomponen filri väljundis on üldisel ( ) [ n( ) ] h( ) y müra ( ) = [ n( ) ] h( ) = zmüra = y müra = ; Kui filri väljundmüra on sasionaarne, siis σ = ηb, kus B on filri ekvivalenne rialaius. Filri väljundsignaali y() iga sümoli pikkuse lõigu lõpphekel määraakse z = y( ) väärused, mis hajuvad normaaljaousega müra korral keskvääruse z 1 ja z o ümer. = y() z 1 z V z o 3 4 Komparaaori nivoo V opimaalne valik: 1 o Vea õenäosus, kui sümolid ja 1 on võrdsel õenäosed, on A. Meiser, Modulasioon. RSI, Ü 9 V op = z + z P e z z = Q σ 1 o

19 6. Digiaalmodulasioon 19 Leiame signaali ja müra võimsuse suhele vasava suuruse γ = x : [ x = V/σ = (z 1 z )/(σ) ] γ 1 zo o 1 1 o σ η η = z η 1 [ s ( ) s ( ) ] d = ( E E + E ) = ( E E ) 1, kus E,5( E + ) = 1 E o on ii keskmine energia, η on valge müra võimsuse (ühepoolne) spekraalihedus, E 1 on signaalide s 1 () ja s o () sarnasus (korrelasiooni) arvesav energia. Signaalide s 1 () ja s () opimaalseks erisamiseks ule filer soiada nende signaalide vahega s = s 1 () s o (), ning vasava soiaud filri impulsskarakerisik pea olema h op () = k[s 1 ( ) s o ( )]. Soiaud filer aga suurima võimaliku γ ja minimaalse iivea õenäosuse ( ) E E1 Pe = Q γ = Q. η Seega olene minimaalse vea suurus ii keskmises energias. Orogonaalsee signaalide s o () ja s 1 () korral E 1 = ja ( ) E P e = Q γ = Q. η Soiaud filri realiseerimine kaks variani: kaks signaalidega s 1 () ja s o () soiaud filri, kaks korrelaaori. Filer1 SH + s 1 () SH + Filer SH sünkro s o () SH sünkro Kahe soiaud filriga srukuur on lihsam kui signaalide vahega soiaud üks filer. Enamasi on aga kergem realiseerida kahe korrelaaoriga srukuuri, mis ööa äiesi samamoodi. õesuseks vaaleme filriega skeemi ülemis haru, kus väljundpinge lõppväärus (ilma müraa) on s ( ) h ( ) = s( ) ks ( ) = s( ) ks ( ) = + d. = ulemus lange kokku inegraaori väljundpinge avaldisega. + soiaud filer kandesagedusel + digiaalne soiaud filer A. Meiser, Modulasioon. RSI, Ü 9

20 6. Digiaalmodulasioon Millised signaalid valida? Üldisel juhul saa m erineva signaali koosada k orogonaalse aasfunksiooni k () järgi summana ( ) a ( ) s m = k, m k, kus a k,m on m-nda signaali kordaja k-nda aasfunksiooni järgi. k Kaks orogonaalse signaali s 1 () = cos(ω) ja s o () = sin(ω) on üks võimalik signaalide valik. Nende kaugus eineeises aga, e energia E 1 = E = E, E 1 = ja ( ) E P e = Q γ = Q. η Samade aasfunksioonidega saa ekiada mimeid muid signaale, näieks QPSK korral 4 erineva faasiga signaali jne. Anipodaalsed signaalid on inaarse edasuse jaoks parim signaalide paar: s 1 () = cos(ω) ja s o () = cos(ω). Siis E E + E ( E E1 ) E 1 1 o = = E. ja P = ( ) = e Q γ Q η Anipodaalsed signaalid, diferensiaalne energia parim valik, dif-energia E E E 1 = Üldjuhus paljude signaalide s m () summa normaaljaousega valges müras Opimaalne vasuvõja on m paralleelse korrelaaori (või soiaud filri), mille väljundid lähevad deekorseadmele. Korrelaaorie ugisignaalideks on eadaoleva kujuga aasfunksioonid k (). Deekor määra signaalide r 1, r.. r m kominasiooni järgi kõige õenäosema edasaud signaali numri m. Kui aga edasaud signaalid ise on aasfunksioonid, siis valiakse signaali numer välja suurima r järgi. Normaaljaousega valge müra korral aga korrelaaorvasuvõja minimaalse vea õenäosuse. 1 () () ( ) d r 1 ( ) d r Deekor m () ( ) d r m A. Meiser, Modulasioon. RSI, Ü 9

21 6. Digiaalmodulasioon Koherense vasuvõu variandid Koherenne inaarne vasuvõ - ASK (OOK) 1 s() s c () s*s c y( ) V s() s c () Poensiaalne viga: E 1 =,5A s, E =, E 1 =, E = / Normaaljaousega müra oimel ekkiva vea õenäosus on P e = Q( E /η ) sünkro SH V E PSK (PRK) 1 s() s() SH s c () s c () sünkro s*s c V = V = Poensiaalne viga: E 1 =,5A s, E = E 1, E 1 = E 1, Vea õenäosus on P = Q( E /η ) e 1 DPSK korral aga P exp( /η) e E E = E 1 = E S S 1 = - S Anipodaalsed signaalid S ja S 1! A. Meiser, Modulasioon. RSI, Ü 9

22 6. Digiaalmodulasioon FSK (Sunde, koherenne) s () s() SH s 1 () s d s () s 1 () SH sünkro komparaaor s s 1 d Saavuaav äpsus olene energias E =,5As E1 = E = E E1 orogonaalsed! = P = Q ( /η ) e E S S Koherenne kvadrauurne QPSK (4QAM) Vasuvõja demodulaaor kvadrauurkanaliega + loogika L x Q A x I s() cos(ω) s SH L s SH sin(ω) Loogika ja vead Soiaud filri korral on vea õenäosus Q( E /η ) P es =. Siin E E. sünkro Sümoli energia E =,5(A s + A s ) s = A s s NB! Biivea jaoks P e,5p es ulemus lange kokku inaarse PSK avaldisega. A. Meiser, Modulasioon. RSI, Ü 9

23 6. Digiaalmodulasioon M-asemelise signaali koherenne vasuvõ M-PSK Vasuvõja lülius on sama mis eelmisel. Eraldaakse signaalid x I ja x Q, nende järgi arvuaakse ϕ = arcg(x Q / x I ) Sekori laius äpse signaali punki ümer on *(π/m). x Q π/m x I Sümoli vea õenäosus opimaalsel vasuvõul on [ E / η sin ( M )] P es Q π / kui E/η >> 1 ja M 4. Diferensiaalsel vasuvõul (DMPSK) suurene vea õenäosus: [ 4E / η sin ( / M )] P es Q π. QAM (ruu) x Q Vasuvõja lülius on sama mis eelmisel. Eraldaakse signaalid x I ja x Q, nende järgi leiakse komparaaori ail lähim konsellasiooni punk. Leiame sümoli keskmise energia E s, selle järgi saame sümoli vea õenäosuse x I P es 1 3 E 4 1 Q. M M 1 ηs 6.13 Miekoherenne vasuvõ Põhimõe Miekoherenne vasuvõ ei kasua kandja sagedus ja faasi (ei ea seda). Signaal ja müra liiuvad, summa mähisjoon määra vasuvõja omadused mähisjoone deekori kasuamisel. Jaousseadused: müra ampliuudi (mähisjoone) õenäosuse iheduse määra Rayleigh' jaousseadus A A p A ( A) = exp, A, σ σ A. Meiser, Modulasioon. RSI, Ü 9

24 6. Digiaalmodulasioon 4 õenäosus, e ampliuud ülea suurus c, on P 1 > σ A σ c. σ ( A c) = A exp da = exp c A s σ MJD A signaali ja müra summa ampliuud (mähisjoon): A Rice'i jaous ( ) exp A + A s As A p A A =, I A, σ σ σ kus A on signaali ja müra summa ampliuud, A s müraa sisendsignaali ampliuud ja I modifiseeriud -järku Besseli funksioon. Kui A s >> σ, lähene see normaaljaousele ( A A ) ( ) A s p A A exp. πa σ σ s p A (A) A s = A s /σ = 3 See on signaali ampliuudi A s juures paiknev jaousseadus dispersiooniga σ. Miekoheren A/σ sel vasuvõul on vea õenäosuse määramiseks vaja Q-funksiooni asemel kasuada Rice i jaouse inegraali ehk nn Marcumi Q-funksiooni marcumq(). MALAB: funksiooni marcumq(a,) kasuamine P e arvuuseks Märgime signaali ampliuudi A s ja müra σ suhe suurusega B = A s /σ Arvuame opimaalse läve suuruse V = B /*sqr(1+8/b ); Siis P e =.5*(1 marcumq(b, V) + marcumq(, V)) Variandid OOK mähisjoone deekoriga Filer MJD SH E = E E1 /, Pe,5 exp η E 1 = A s /, E =,. sünkro V Nivooks valiakse As V = 1+ E / η As. 1 marcumq(b, V) ) V marcumq(, V) B = A s /σ A. Meiser, Modulasioon. RSI, Ü 9

25 6. Digiaalmodulasioon FSK mähisjoone deekoriega F1 MJD1 Komp F MJD sünkro Sagedusele f 1 ja f on häälesaud filrid F1, F ja mähisjoone deekori. Komparaaor reageeri deekorie väljundpingee vahele. P e E,5 exp, sama kui OOK korral, kuid ei vaja nivoo V valiku. η Miekoherenne (diferensiaalsel koherenne) DPSK F V = sünkro Varian diferensiaalse demodulaaoriga (= demodulaaor + dekooder) P e E,5 exp on parem kui FSK = η A. Meiser, Modulasioon. RSI, Ü 9

26 6. Digiaalmodulasioon Modulasiooniviiside võrdlus Allpool on oodud koherense ja miekoherense inaarse vasuvõu mõne variandi vea õenäosuse graafikud. log(p e ) Koher. PSK Dif. PSK Miekoher. FSK Koher. FSK E /η, db Digiaalsee modulasiooniviiside vead - kokkuvõe Binaarsed: ASK, FSK koher. Pe Q( γ ) PSK P = Q( γ ) e =, γ = / η DPSK P =,5exp( γ ) ASK, FSK miekoher. P,5exp( γ / ) e e E Kvadrauursed: QPSK (4QAM) P Q( γ ) = Q( γ ) γ η γ es =, = E / = M-asemelised: P e = P es /K γ = E / η = Kγ (K = log M) M-PSK π P es Q γ sin M M-DPSK π P es Q 4γ sin M M-QAM (ruu) 1 3γ P es 4 1 Q M M 1 A. Meiser, Modulasioon. RSI, Ü 9

27 6. Digiaalmodulasioon 7 Juhusliku müra mõju digiaaledasusel, koherenne vasuvõ p(z H 1 ) σ p(z H ) P e = f(v/σ) V z 1 x p x = exp ja πσ σ Normaaljaousega müra korral ( ) P e 1 y 1 = exp dy = πσ σ V π V / V exp σ / σ V V d = Q σ σ Arvuus MALABis: Pe = qfunc(v/sigma) ja V/sigma = qfuncinv(pe) Miekoherensel vasuvõul mähisjoone deekoriga Rayleigh ja Rice i jaouse komplemenaarinegraali MALAB: marcumq() kasuamine P(A >V) = marcumq(, V/σ) V A P(A < V) = 1 marcumq(a s /σ, V/σ) V A s A B =A s /σ; V = B/*sqr(1 + 8/B ); P e = [1 marcumq(b, V) + marcumq(, V)]*.5 A. Meiser, Modulasioon. RSI, Ü 9

28 6. Digiaalmodulasioon 8 Ülesanded 1. Faasmodulasiooni 4PSK kasuav süseem ööa kandesagedusel 15 khz sümoli kiirusega 1 kbd. Leida infoedasuskiirus ja sidekanali sagedusria piirid.. Määraa faasmanipulasiooni π/4-dqpsk jaoks signaali olekudiagrammi punkide jada, kui sisendsignaali kaksikiid on: uua ASK-OOK signaali skemaailine joonis, kui sagedus f c = 3/ ja sisendsignaal on FSK-modulasioonil (Sunde moodus) on edasuskiirus 1 k/s. Leida sageduse vahe f ja signaali spekri laius, kui kesksagedus on 45 MHz. 5. Määraa MSK-signaali faasi muuused, kui edasaav signaal on Kui lai on vajalik sagedusria edasuskiirusel 1 k/s? 6. Koherense PSK-vasuvõja sisendis on signaali ampliuud, V. Leida vea õenäosus, kui ii pikkus on,6 µs ja müra spekraalihedus 1-7 V /Hz. 7. Koherense PSK-vasuvõja sisendis on suhe E /η = 1. Kui suur pea olema see suhe miekoherense PSK korral, e agada sama vea õenäosus? 8. PSK-edasusel on = ms ja kandesignaali sagedus 4 khz. Müra spekraalihedus on 1-8 V /Hz. Leida signaali sagedusria ja vajalik signaali suurus, e vea õenäosus oleks alla Kui palju või vähendada signaali ampliuudi 8PSK asendamisel 4PSK-ga, e müra mõjus ekkiv sümoli vea õenäosus jääks samaks? Kui palju muuu infoedasuskiirus, kui sümoli kiirus on sama? 1. Leida 16QAM sümoli keskmine energia ja vea õenäosus, kui konsellasiooni punkid paiknevad ruudusikul küljega,5 V. Edasuskiirus on r ja müra spekraalihedus on η (arvulisel anud). A. Meiser, Modulasioon. RSI, Ü 9

Microsoft Word - Pt4.doc

Microsoft Word - Pt4.doc 4 OSTSILLOGRAAF 4.1 STRUKTUUR Ossillograaf seade elekrivõnkumise (pinge) ajalise kuju jälgimiseks ja mõõmiseks. Liigius: analoogossillograafid ja digiaalossillograafid. a) Analoogossillograaf S CRT S&K

Rohkem

Side

Side SIDE (IRT 90) Loeng Signaalid sidekanalis Teema - signaalid Signaaliülekanne üüsilises kanalies Põhiriba signaal ja selle esius Kisaribalised ja laiaribalised signaalid vs kanalid Häirekindluse agamine

Rohkem

Side 2006

Side 2006 SIDE (IRT 3930) Loeng 3 Sõnumid ja signaalid Teema - signaalid õhipunkid Sidesüseemi ükeldus Analoog- ja digisõnum Signaalide liigius Digiaalsignaali ülekanne Shannoni valem Avo Os elekommunikasiooni õppeool,

Rohkem

Side loeng 3

Side loeng 3 SIDE (IRT 393) Loeng 3/7 Sõnumid ja signaalid Teema - signaalid õhipunkid Sidesüseemi ükeldus Analoog- ja digisõnum Signaalide liigius Digiaalsignaali ülekanne Shannoni valem Avo Os elekommunikasiooni

Rohkem

PowerPoint Presentation

PowerPoint Presentation 12. Traadita kohtvõrk ja hajaspektriside Side IRT3930 Ivo Müürsepp 2 Eksamiajad: Esimene eksamieelne konsultatsioon: T 02.01.2018 kell 10:00 Esimene eksamiaeg: R 05.01.2018 kell 10:00 Teine konsultatsiooniaeg

Rohkem

DE_loeng5

DE_loeng5 Digitaalelektroonika V loeng loogikalülitused KMOP transistoridega meeldetuletus loogikalülitused TTL baasil baaslülitus inverteri tunnusjooned ja hilistumine LS lülitus kolme olekuga TTL ja avatud kollektoriga

Rohkem

Sideteooria-loeng 01 - kanalimudelid, statistika

Sideteooria-loeng 01 - kanalimudelid, statistika IRT0120 Sideteooria IRT0120 Sideteooria kursuse koduleht: www.lr.ttu.ee/~eriklos/sideteooria põhiõpik: J. Proakis Digital Communications (4th ( 2008 - ed. ed. - 2001; 5th semestri lõpunädalatel teiepoolsete

Rohkem

Füüsika: sissejuhatus

Füüsika: sissejuhatus . Peaükk. Sissejuhaus füüsikalisse mõlemisse.. Füüsika aine See, millega füüsikud egelevad hilja õhul. Range definisiooni on raske anda. Võib küll üles lugeda, millise küsimusega füüsika egeleb ja millisega

Rohkem

11/12/2014 BINAARNE FAASMANIPULATSIOON BPSK (Binary Phase Shift Keying) kasutab sümbolite 0 ja 1 edastamiseks signaale, mis erinevad teineteisest 180

11/12/2014 BINAARNE FAASMANIPULATSIOON BPSK (Binary Phase Shift Keying) kasutab sümbolite 0 ja 1 edastamiseks signaale, mis erinevad teineteisest 180 BINAARNE FAASMANIPULATSIOON BPSK (Bnary Phase Shft Keyng) kasuta sümolte ja edastamseks sgnaale, ms ernevad tenetesest 8 o faasnhke poolest Aos( ω t), Aos( ω t+ π ), Võ MOULATSIOON IRO Loengumaterjal -

Rohkem

Andmed arvuti mälus Bitid ja baidid

Andmed arvuti mälus Bitid ja baidid Andmed arvuti mälus Bitid ja baidid A bit about bit Bitt, (ingl k bit) on info mõõtmise ühik, tuleb mõistest binary digit nö kahendarv kahe võimaliku väärtusega 0 ja 1. Saab näidata kahte võimalikku olekut

Rohkem

DIGITAALTEHNIKA DIGITAALTEHNIKA Arvusüsteemid Kümnendsüsteem Kahendsüsteem Kaheksandsüsteem Kuueteistkü

DIGITAALTEHNIKA DIGITAALTEHNIKA Arvusüsteemid Kümnendsüsteem Kahendsüsteem Kaheksandsüsteem Kuueteistkü DIGITAALTEHNIKA DIGITAALTEHNIKA... 1 1. Arvusüsteemid.... 2 1.1.Kümnendsüsteem....2 1.2.Kahendsüsteem.... 2 1.3.Kaheksandsüsteem.... 2 1.4.Kuueteistkümnendsüsteem....2 1.5.Kahendkodeeritud kümnendsüsteem

Rohkem

6 tsooniga keskus WFHC MASTER RF 868MHz & 4 või 6 tsooniga alaseade SLAVE RF KASUTUSJUHEND 6 tsooniga WFHC RF keskus & 4 või 6 tsooniga alaseade SLAVE

6 tsooniga keskus WFHC MASTER RF 868MHz & 4 või 6 tsooniga alaseade SLAVE RF KASUTUSJUHEND 6 tsooniga WFHC RF keskus & 4 või 6 tsooniga alaseade SLAVE 6 tsooniga keskus WFHC MASTER RF 868MHz & 4 või 6 tsooniga alaseade SLAVE RF KASUTUSJUHEND 6 tsooniga WFHC RF keskus & 4 või 6 tsooniga alaseade SLAVE RF 868MHz 3-6 EE 1. KASUTUSJUHEND 6 tsooniga WFHC

Rohkem

Microsoft PowerPoint - IRZ0020_praktikum4.pptx

Microsoft PowerPoint - IRZ0020_praktikum4.pptx IRZ0020 Kodeerimine i ja krüpteerimine praktikum 4 Julia Berdnikova, julia.berdnikova@ttu.ee www.lr.ttu.ee/~juliad l 1 Infoedastussüsteemi struktuurskeem Saatja Vastuvõtja Infoallikas Kooder Modulaator

Rohkem

Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017

Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017 Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017 Sissejuhatus Raadiosidest üldiselt Tarkvaraline raadio Kuidas alustada 2 Raadioside Palju siinussignaale õhus Info edastamiseks moduleerid

Rohkem

PowerPoint Presentation

PowerPoint Presentation Traadita lairiba Eestis 01. okt 2009 1 Ettekande teemad: 3,5G (HSDPA) Priit Kullerkupp priit.kullerkupp@gmail.com CDMA 450 Aivar Jürgens aivar.jyrgens@gmail.com WiFi, WiMax Uko Valtenberg uko.valtenberg@err.ee

Rohkem

Tõstuksed Aiaväravad Tõkkepuud Automaatika KÄIGUUKSED Käiguuksed on paigaldatavad kõikidele sektsioonuste tüüpidele. Käiguukse saab varustada kas tava

Tõstuksed Aiaväravad Tõkkepuud Automaatika KÄIGUUKSED Käiguuksed on paigaldatavad kõikidele sektsioonuste tüüpidele. Käiguukse saab varustada kas tava KÄIGUUKSED Käiguuksed on paigaldatavad kõikidele sektsioonuste tüüpidele. Käiguukse saab varustada kas tavalise või madala lävepakuga. Soovitav on ukse tellimise ajal käiguukse vajadus ning ning lävepaku

Rohkem

Antennide vastastikune takistus

Antennide vastastikune takistus Antennide vastastikune takistus Eelmises peatükis leidsime antenni kiirgustakistuse arvestamata antenni lähedal teisi objekte. Teised objektid, näiteks teised antennielemendid, võivad aga mõjutada antenni

Rohkem

P9_10 estonian.cdr

P9_10 estonian.cdr Registreerige oma toode ja saage abi kodulehelt www.philips.com/welcome P9/10 Eestikeelne kasutusjuhend 2 Ühendage P9 kõlar Bluetooth ühenduse kaudu oma Bluetooth seadmega, nagu näiteks ipadiga, iphone'iga,

Rohkem

Microsoft Word - Iseseisev töö nr 1 õppeaines.doc

Microsoft Word - Iseseisev töö nr 1 õppeaines.doc TALLINNA TEHNIKAÜLIKOOL Raadio- ja sidetehnika instituut Mikrolainetehnika õppetool Iseseisva töö nr 1 juhend õppeaines Sideseadmete mudeldamine Ionosfäärse sidekanali mudeldamine Tallinn 2006 1 Teoreetilised

Rohkem

lvk04lah.dvi

lvk04lah.dvi Lahtine matemaatikaülesannete lahendamise võistlus. veebruaril 004. a. Lahendused ja vastused Noorem rühm 1. Vastus: a) jah; b) ei. Lahendus 1. a) Kuna (3m+k) 3 7m 3 +7m k+9mk +k 3 3M +k 3 ning 0 3 0,

Rohkem

Praks 1

Praks 1 Biomeetria praks 6 Illustreeritud (mittetäielik) tööjuhend Eeltöö 1. Avage MS Excel is ankeedivastuseid sisaldav andmestik, 2. lisage uus tööleht, nimetage see ümber leheküljeks Praks6 ja 3. kopeerige

Rohkem

VL1_praks6_2010k

VL1_praks6_2010k Biomeetria praks 6 Illustreeritud (mittetäielik) tööjuhend Eeltöö 1. Avage MS Excel is oma kursuse ankeedivastuseid sisaldav andmestik, 2. lisage uus tööleht (Insert / Lisa -> Worksheet / Tööleht), nimetage

Rohkem

Praks 1

Praks 1 Biomeetria praks 6 Illustreeritud (mittetäielik) tööjuhend Eeltöö 1. Avage MS Excel is oma kursuse ankeedivastuseid sisaldav andmestik, 2. lisage uus tööleht, nimetage see ümber leheküljeks Praks6 ja 3.

Rohkem

VRG 2, VRG 3

VRG 2, VRG 3 Tehniline andmeleht Sadulventiilid (PN 16) 2-tee ventiil, väliskeermega 3-tee ventiil, väliskeermega Kirjeldus Omadused Mullikindel konstruktsioon Mehhaaniline snepperühendus täiturmootoriga MV(E) 335,

Rohkem

Itella Estonia OÜ Uuendatud EXPRESS BUSINESS DAY BALTICS PAKKIDE JA ALUSTE TRANSPORT Express Business Day Baltics paki lubatud maksimaalsed

Itella Estonia OÜ Uuendatud EXPRESS BUSINESS DAY BALTICS PAKKIDE JA ALUSTE TRANSPORT Express Business Day Baltics paki lubatud maksimaalsed Itella Estonia OÜ Uuendatud 05.06.2019 EXPRESS BUSINESS DAY BALTICS PAKKIDE JA ALUSTE TRANSPORT Express Business Day Baltics paki lubatud maksimaalsed kaalud ja mõõdud Min. kaal 100 g Maks. kaal 35 kg

Rohkem

Tala dimensioonimine vildakpaindel

Tala dimensioonimine vildakpaindel Tala dimensioonimine vildakpaindel Ülesanne Joonisel 9 kujutatud okaspuidust konsool on koormatud vertikaaltasandis ühtlase lauskoormusega p ning varda teljega risti mõjuva kaldjõuga (-jõududega) F =pl.

Rohkem

Sissejuhatus mehhatroonikasse MHK0120

Sissejuhatus mehhatroonikasse  MHK0120 Sissejuhatus mehhatroonikasse MHK0120 5. nädala loeng Raavo Josepson raavo.josepson@ttu.ee Pöördliikumine Kulgliikumine Kohavektor Ԧr Kiirus Ԧv = d Ԧr dt Kiirendus Ԧa = dv dt Pöördliikumine Pöördenurk

Rohkem

efo09v2pke.dvi

efo09v2pke.dvi Eesti koolinoorte 56. füüsikaolümpiaad 17. jaanuar 2009. a. Piirkondlik voor. Põhikooli ülesanded 1. (VÄRVITILGAD LAUAL) Ühtlaselt ja sirgjooneliselt liikuva horisontaalse laua kohal on kaks paigalseisvat

Rohkem

MATEMAATILINE ANALÜÜS I. ESIMESE KONTROLLTÖÖ NÄITEÜLESANDED (1) Leida funktsiooni y = sin x + ln(16 x 2 ) määramispiirkond. (2) Leida funktsiooni y =

MATEMAATILINE ANALÜÜS I. ESIMESE KONTROLLTÖÖ NÄITEÜLESANDED (1) Leida funktsiooni y = sin x + ln(16 x 2 ) määramispiirkond. (2) Leida funktsiooni y = MATEMAATILINE ANALÜÜS I. ESIMESE KONTROLLTÖÖ NÄITEÜLESANDED () Leida funktsiooni y = sin + ln(6 ) määramispiirkond. () Leida funktsiooni y = arcsin( 5 + 5) + 9 määramispiirkond. () Leida funktsiooni määramispiirkond

Rohkem

loeng7.key

loeng7.key Grammatikate elustamine JFLAPiga Vesal Vojdani (TÜ Arvutiteaduse Instituut) Otse Elust: Java Spec https://docs.oracle.com/javase/specs/jls/se8/html/ jls-14.html#jls-14.9 Kodutöö (2. nädalat) 1. Avaldise

Rohkem

Document number:

Document number: WNR Kiirpaigaldusjuhend Lisateavet, juhised ja uuendused saab leida internetist aadressil http://www.a-link.com Kiirpaigaldusjuhend Komplekt sisaldab: - WNR repiiter - Paigaldusjuhend Ühendused / Ports:

Rohkem

Microsoft Word - Sobitusahelate_projekteerimine.doc

Microsoft Word - Sobitusahelate_projekteerimine.doc Sobitusahelate projekteerimine Vaatleme 3 erinevat meetodit: koondparameetitega elementidel sobitamine häälestusribaga sobitamine veerandlainelõiguga sobitamine Sobitust võib vaadelda koormustakistuse

Rohkem

Microsoft Word - 56ylesanded1415_lõppvoor

Microsoft Word - 56ylesanded1415_lõppvoor 1. 1) Iga tärnike tuleb asendada ühe numbriga nii, et tehe oleks õige. (Kolmekohaline arv on korrutatud ühekohalise arvuga ja tulemuseks on neljakohaline arv.) * * 3 * = 2 * 1 5 Kas on õige, et nii on

Rohkem

Microsoft Word - MKM74_lisa2.doc

Microsoft Word - MKM74_lisa2.doc Majandus- ja kommunikatsiooniministri 6. oktoobri 2010. a määruse nr 74 Avaliku konkursi läbiviimise kord sageduslubade andmiseks televisiooni ringhäälingusaadete ja -programmide digitaalse edastamise

Rohkem

Neurovõrgud. Praktikum aprill a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust k

Neurovõrgud. Praktikum aprill a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust k Neurovõrgud. Praktikum 11. 29. aprill 2005. a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust kombinatoorsete optimiseerimisülesannete lahendamiseks.

Rohkem

Microsoft PowerPoint - loeng2.pptx

Microsoft PowerPoint - loeng2.pptx Kirjeldavad statistikud ja graafikud pidevatele tunnustele Krista Fischer Pidevad tunnused ja nende kirjeldamine Pidevaid (tihti ka diskreetseid) tunnuseid iseloomustatakse tavaliselt kirjeldavate statistikute

Rohkem

Lisa I_Müra modelleerimine

Lisa I_Müra modelleerimine LISA I MÜRA MODELLEERIMINE Lähteandmed ja metoodika Lähteandmetena kasutatakse AS K-Projekt poolt koostatud võimalikke eskiislahendusi (trassivariandid A ja B) ning liiklusprognoosi aastaks 2025. Kuna

Rohkem

Matemaatilised meetodid loodusteadustes. I Kontrolltöö I järeltöö I variant 1. On antud neli vektorit: a = (2; 1; 0), b = ( 2; 1; 2), c = (1; 0; 2), d

Matemaatilised meetodid loodusteadustes. I Kontrolltöö I järeltöö I variant 1. On antud neli vektorit: a = (2; 1; 0), b = ( 2; 1; 2), c = (1; 0; 2), d Matemaatilised meetodid loodusteadustes I Kontrolltöö I järeltöö I variant On antud neli vektorit: a (; ; ), b ( ; ; ), c (; ; ), d (; ; ) Leida vektorite a ja b vaheline nurk α ning vekoritele a, b ja

Rohkem

Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad ko

Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad ko Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad koos AMV(E) 335, AMV(E) 435 ja AMV(E) 438 SU täiturmootoritega.

Rohkem

untitled

untitled et Raketise eksperdid. Kaarraketis Framax Xlife Raamraketis Framax Xlife Informatsioon kasutajale Instruktsioon paigaldamiseks ja kasutamiseks 9727-0-01 Sissejuhatus tus Sissejuha- by Doka Industrie GmbH,

Rohkem

ITI Loogika arvutiteaduses

ITI Loogika arvutiteaduses Predikaatloogika Predikaatloogika on lauseloogika tugev laiendus. Predikaatloogikas saab nimetada asju ning rääkida nende omadustest. Väljendusvõimsuselt on predikaatloogika seega oluliselt peenekoelisem

Rohkem

VL1_praks2_2009s

VL1_praks2_2009s Biomeetria praks 2 Illustreeritud (mittetäielik) tööjuhend Eeltöö 1. Avage MS Excel is oma kursuse ankeedivastuseid sisaldav andmestik (see, mida 1. praktikumiski analüüsisite), 2. nimetage Sheet3 ümber

Rohkem

standardi levaade_mikk.doc

standardi levaade_mikk.doc TALLINNA TEHNIKAÜLIKOOL Raadio- ja sidetehnika instituut Sidetehnilised standardid IEEE 802.16 Kodutöö Teostaja: Mikk Peetrimägi IATM-21 Juhendaja: Maret Ots Tallinn 2006 Sisukord Sissejuhatus 3 1. Traadita

Rohkem

raamat5_2013.pdf

raamat5_2013.pdf Peatükk 5 Prognoosiintervall ja Usaldusintervall 5.1 Prognoosiintervall Unustame hetkeks populatsiooni parameetrite hindamise ja pöördume tagasi üksikvaatluste juurde. On raske ennustada, milline on huvipakkuva

Rohkem

Matemaatiline analüüs IV 1 3. Mitme muutuja funktsioonide diferentseerimine 1. Mitme muutuja funktsiooni osatuletised Üleminekul ühe muutuja funktsioo

Matemaatiline analüüs IV 1 3. Mitme muutuja funktsioonide diferentseerimine 1. Mitme muutuja funktsiooni osatuletised Üleminekul ühe muutuja funktsioo Matemaatiline analüüs IV 1 3. Mitme muutuja funktsioonide diferentseerimine 1. Mitme muutuja funktsiooni osatuletised Üleminekul üe muutuja funktsioonidelt m muutuja funktsioonidele, kus m, 3,..., kerkib

Rohkem

vv05lah.dvi

vv05lah.dvi IMO 05 Eesti võistkonna valikvõistlus 3. 4. aprill 005 Lahendused ja vastused Esimene päev 1. Vastus: π. Vaatleme esiteks juhtu, kus ringjooned c 1 ja c asuvad sirgest l samal pool (joonis 1). Olgu O 1

Rohkem

Praks 1

Praks 1 Biomeetria praks 3 Illustreeritud (mittetäielik) tööjuhend Eeltöö 1. Avage MS Excel is oma kursuse ankeedivastuseid sisaldav andmestik, 2. lisage uus tööleht, 3. nimetage see ümber leheküljeks Praks3 ja

Rohkem

3D mänguarenduse kursus (MTAT ) Loeng 3 Jaanus Uri 2013

3D mänguarenduse kursus (MTAT ) Loeng 3 Jaanus Uri 2013 3D mänguarenduse kursus (MTAT.03.283) Loeng 3 Jaanus Uri 2013 Teemad Tee leidmine ja navigatsioon Andmete protseduuriline genereerimine Projektijuhtimine Tee leidmine Navigatsiooni võrgustik (navigation

Rohkem

Euroopa Liidu Nõukogu Brüssel, 24. september 2015 (OR. en) 12353/15 ADD 2 ENV 586 ENT 199 MI 583 SAATEMÄRKUSED Saatja: Kättesaamise kuupäev: Saaja: Eu

Euroopa Liidu Nõukogu Brüssel, 24. september 2015 (OR. en) 12353/15 ADD 2 ENV 586 ENT 199 MI 583 SAATEMÄRKUSED Saatja: Kättesaamise kuupäev: Saaja: Eu Euroopa Liidu Nõukogu Brüssel, 24. september 2015 (OR. en) 12353/15 ADD 2 ENV 586 ENT 199 MI 583 SAATEMÄRKUSED Saatja: Kättesaamise kuupäev: Saaja: Euroopa Komisjon 23. september 2015 Nõukogu peasekretariaat

Rohkem

Majandus- ja kommunikatsiooniministri 10. aprill a määrus nr 26 Avaliku konkursi läbiviimise kord, nõuded ja tingimused sageduslubade andmiseks

Majandus- ja kommunikatsiooniministri 10. aprill a määrus nr 26 Avaliku konkursi läbiviimise kord, nõuded ja tingimused sageduslubade andmiseks Majandus- ja kommunikatsiooniministri 10. aprill 2013. a määrus nr 26 Avaliku konkursi läbiviimise kord, nõuded ja tingimused sageduslubade andmiseks maapealsetes süsteemides üldkasutatava elektroonilise

Rohkem

Fyysika 8(kodune).indd

Fyysika 8(kodune).indd Joonis 3.49. Nõgusläätses tekib esemest näiv kujutis Seega tekitab nõguslääts esemest kujutise, mis on näiv, samapidine, vähendatud. Ülesandeid 1. Kas nõgusläätsega saab seinale Päikese kujutist tekitada?

Rohkem

ISS0010_5osa_2018

ISS0010_5osa_2018 Süeemieooria ISS E 5 EP Juhiavu, jälgiavu, raendued hp://www.alab.ee/edu/i Eduard Pelenov eduard.pelenov@u.ee, TTÜ IT5b, el. 64 TTÜ rvuiüeemide iniuu ruae üeemide eu Juhiavu, jälgiavu Juharvui Süeem JUHITVUS!

Rohkem

prakt4.dvi

prakt4.dvi Dikreene maemaaika 0. prakikum Reimo Palm Prakikumiüleanded Tranpordivõrke, mille abil aadeake kaupu oomikohade uruamikohadee, aab kõige efekiivemal analüüida nii, e vaadeldake neid eaava liarukuuriga

Rohkem

1 Keskkonnamõju analüüs Loone - Pirgu metsakuivenduse rekonstrueerimine Koostajad Koostamise aeg metsaparandusspetsialist Jüri Koort Raplam

1 Keskkonnamõju analüüs Loone - Pirgu metsakuivenduse rekonstrueerimine Koostajad Koostamise aeg metsaparandusspetsialist Jüri Koort Raplam 1 Keskkonnamõju analüüs Loone - Pirgu metsakuivenduse rekonstrueerimine Koostajad Koostamise aeg metsaparandusspetsialist Jüri Koort 214-2-27 Raplamaa bioloogilise mitmekesisuse spetsialist Toomas Hirse

Rohkem

Word Pro - diskmatTUND.lwp

Word Pro - diskmatTUND.lwp Loogikaalgebra ( Boole'i algebra ) George Boole (85 864) Sündinud Inglismaal Lincolnis. 6-aastasena tegutses kooliõpetaja assistendina. Õppis 5 aastat iseseisvalt omal käel matemaatikat, keskendudes hiljem

Rohkem

Microsoft Word - QOS_2008_Tallinn_OK.doc

Microsoft Word - QOS_2008_Tallinn_OK.doc GSM mobiiltelefoniteenuse kvaliteet Tallinnas, juuni 2008 Sideteenuste osakond 2008 Kvaliteedist üldiselt GSM mobiiltelefonivõrgus saab mõõta kümneid erinevaid tehnilisi parameetreid ja nende kaudu võrku

Rohkem

Microsoft PowerPoint - Loeng2www.ppt [Compatibility Mode]

Microsoft PowerPoint - Loeng2www.ppt [Compatibility Mode] Biomeetria 2. loeng Lihtne lineaarne regressioon mudeli hindamisest; usaldusintervall; prognoosiintervall; determinatsioonikordaja; Märt Möls martm@ut.ee Y X=x~ N(μ=10+x; σ=2) y 10 15 20 2 3 4 5 6 7 8

Rohkem

Microsoft Word - Suure thermori pass2.doc

Microsoft Word - Suure thermori pass2.doc PAIGALDAMINE KASUTAMINE HOOLDUS SUUREMAHULISED 500-3000 L VEEBOILERID Need on sukel-ja keraamilise küttekehaga elektrilised veesoojendid. Võimalikud on variandid kus täiendavalt küttekehale on ka kesküttesüsteemiga

Rohkem

19. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, Arvridade koonduvustunnused Sisukord 19 Arvridade koonduvustunnused Vahelduvat

19. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, Arvridade koonduvustunnused Sisukord 19 Arvridade koonduvustunnused Vahelduvat 9. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, 203-4. 9 Arvridade koonduvustunnused Sisukord 9 Arvridade koonduvustunnused 23 9. Vahelduvate märkidega read.......................... 24 9.2 Leibniz i

Rohkem

OÜ Lemonsport Hummel spordivarustus Raplamaa JK õpilastele ja pereliikmetele Valik september Jalgpallikooli võistlus- ja treeningvarustus 20

OÜ Lemonsport Hummel spordivarustus Raplamaa JK õpilastele ja pereliikmetele Valik september Jalgpallikooli võistlus- ja treeningvarustus 20 OÜ Lemonsport Hummel spordivarustus Raplamaa JK õpilastele ja pereliikmetele Valik september 2016 -... Jalgpallikooli võistlus- ja treeningvarustus 2016/17 Jalgpallisärk 22.- 100% polüester Suurused 6/8,

Rohkem

Image segmentation

Image segmentation Image segmentation Mihkel Heidelberg Karl Tarbe Image segmentation Image segmentation Thresholding Watershed Region splitting and merging Motion segmentation Muud meetodid Thresholding Lihtne Intuitiivne

Rohkem

Microsoft PowerPoint - Niitmise_tuv_optiline_ja_radar.pptx

Microsoft PowerPoint - Niitmise_tuv_optiline_ja_radar.pptx Ettekanne ESTGIS aastakonverentsil 30.11.2012 Niidetud alade tuvastamine multispektraalsete ja radarsatelliidipiltide põhjal Kaupo Voormansik Sisukord 1. Eksperiment 2012 suvel multispektraalsete mõõtmiste

Rohkem

1/ Lüliti REVAL BULB 230V, IR puldiga 300W IP20 Tootekood Jaehind 23,32+KM Soodushind 10,00+KM Bränd REVAL BULB Toide 230V Võimsus

1/ Lüliti REVAL BULB 230V, IR puldiga 300W IP20 Tootekood Jaehind 23,32+KM Soodushind 10,00+KM Bränd REVAL BULB Toide 230V Võimsus 1/32 Lüliti REVAL BULB 230V, IR puldiga 300W IP20 Tootekood 13231 Jaehind 23,32+KM Soodushind 10,00+KM Bränd REVAL BULB Võimsus 300W Kõrgus 87mm Laius 87mm Kaal 174g 0-100% LED dimmer LED Riba 2835 60LED

Rohkem

Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2

Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2 Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2 Laplace'i teisendus Diferentsiaalvõrrandite lahendamine ilma tarkvara toeta on keeruline Üheks lahendamisvõtteks on Laplace'i teisendus

Rohkem

Microsoft PowerPoint - loeng.ppt

Microsoft PowerPoint - loeng.ppt Tarkvaraarendusprotsess Lektor Oleg Mürk olegm@webmedia.ee Webmedia AS www.webmedia.ee Teema Mille poolest erineb üksinda programmeerimine mitmekesi tarkvaraarendamisest? Mitmekesi programmeerimine Mitmekesi

Rohkem

Hoia oma arvuti turvaline ja kiire 1.Leia start nupust alustades Juhtpaneel 2.Juhtpaneeli aadressiribalt leia Kõik juhtpaneeli üksused 3.Avanenud tööa

Hoia oma arvuti turvaline ja kiire 1.Leia start nupust alustades Juhtpaneel 2.Juhtpaneeli aadressiribalt leia Kõik juhtpaneeli üksused 3.Avanenud tööa Hoia oma arvuti turvaline ja kiire 1.Leia start nupust alustades Juhtpaneel 2.Juhtpaneeli aadressiribalt leia Kõik juhtpaneeli üksused 3.Avanenud tööaknas leia Windows Update 4.Lase arvutil kontrollida

Rohkem

(10. kl. I kursus, Teisendamine, kiirusega, kesk.kiirusega \374lesanded)

(10. kl. I kursus, Teisendamine, kiirusega, kesk.kiirusega  \374lesanded) TEISENDAMINE Koostanud: Janno Puks 1. Massiühikute teisendamine Eesmärk: vajalik osata teisendada tonne, kilogramme, gramme ja milligramme. Teisenda antud massiühikud etteantud ühikusse: a) 0,25 t = kg

Rohkem

Võrguinverterite valik ja kasutusala päikeseelektrijaamades Robert Mägi insener

Võrguinverterite valik ja kasutusala päikeseelektrijaamades Robert Mägi insener Võrguinverterite valik ja kasutusala päikeseelektrijaamades Robert Mägi insener Robert Mägi o Õpingud: Riga Technical University o Haridus: MSc (Electrical Engineering) MSc (Automatic Telecommunications)

Rohkem

29 th International Physics Olympiad Reykjavik, Iceland Eksperimentaalne võistlus Esmaspäev, 6. juuli 1998 Kasutada olev aeg: 5 tundi Loe esmalt seda:

29 th International Physics Olympiad Reykjavik, Iceland Eksperimentaalne võistlus Esmaspäev, 6. juuli 1998 Kasutada olev aeg: 5 tundi Loe esmalt seda: 9 th International Physics Olympiad Reykjavik, Iceland Eksperimentaalne võistlus Esmaspäev, 6. juuli 1998 Kasutada olev aeg: 5 tundi Loe esmalt seda: 1. Kasuta ainult korraldajate antud sulepead.. Kasuta

Rohkem

7 KODEERIMISTEOORIA 7.1 Sissejuhatus Me vaatleme teadete edastamist läbi kanali, mis sisaldab müra ja võib seetõttu moonutada lähteteadet. Lähteteade

7 KODEERIMISTEOORIA 7.1 Sissejuhatus Me vaatleme teadete edastamist läbi kanali, mis sisaldab müra ja võib seetõttu moonutada lähteteadet. Lähteteade 7 KODEERIMISTEOORIA 7.1 Sissejuhatus Me vaatleme teadete edastamist läbi kanali, mis sisaldab müra ja võib seetõttu moonutada lähteteadet. Lähteteade kodeeritakse, st esitatakse sümbolite kujul, edastatakse

Rohkem

Väljaandja: Keskkonnaminister Akti liik: määrus Teksti liik: terviktekst Redaktsiooni jõustumise kp: Redaktsiooni kehtivuse lõpp:

Väljaandja: Keskkonnaminister Akti liik: määrus Teksti liik: terviktekst Redaktsiooni jõustumise kp: Redaktsiooni kehtivuse lõpp: Väljaandja: Keskkonnaminister Akti liik: määrus Teksti liik: terviktekst Redaktsiooni jõustumise kp: 0.02.2009 Redaktsiooni kehtivuse lõpp: 3.0.206 Avaldamismärge: Kiirgustegevuses tekkinud radioaktiivsete

Rohkem

M (12)+lisa Mario Narbekov, Dmitri Tiško, Ingrid Leemet Liiklus- ja raudteemüra mõõtmised Vaksali 3 ja 11, Hurda 38, Tammsa

M (12)+lisa Mario Narbekov, Dmitri Tiško, Ingrid Leemet Liiklus- ja raudteemüra mõõtmised Vaksali 3 ja 11, Hurda 38, Tammsa 190687-M01-11242 1(12)+lisa Mario Narbekov, Dmitri Tiško, Ingrid Leemet 14.06.2019 Liiklus- ja raudteemüra mõõtmised Vaksali 3 ja 11, Hurda 38, Tammsaare 8, Tartu Tellija: Tartu Linnavalitsus Tellimus:

Rohkem

SEPTIKU JA IMBVÄLAJKU KASUTUS-PAIGALDUS JUHEND 2017

SEPTIKU JA IMBVÄLAJKU KASUTUS-PAIGALDUS JUHEND 2017 SEPTIKU JA IMBVÄLAJKU KASUTUS-PAIGALDUS JUHEND 2017 Septiku ja imbväljaku tööprotsessi kirjeldus Üldine info ja asukoha valik: Septik on polüetüleenist (PE) rotovalu süsteemiga valmistatud mahuti, milles

Rohkem

efo03v2pkl.dvi

efo03v2pkl.dvi Eesti koolinoorte 50. füüsikaolümpiaad 1. veebruar 2003. a. Piirkondlik voor Põhikooli ülesannete lahendused NB! Käesoleval lahendustelehel on toodud iga ülesande üks õige lahenduskäik. Kõik alternatiivsed

Rohkem

Caterpillar Inc. 100 NE Adams Street, Peoria, IL USA Meedianumber U9NE8460 Tegevusdokument Lisateave GRADE süsteemi komponentide nõuetele vastav

Caterpillar Inc. 100 NE Adams Street, Peoria, IL USA Meedianumber U9NE8460 Tegevusdokument Lisateave GRADE süsteemi komponentide nõuetele vastav Tegevusdokument Lisateave GRADE süsteemi komponentide nõuetele vastavuse teave 1 Sisukord lk Ohutusmärgid ja -sildid... 3 Ohutusteated... 4 Muud sildid... 5 Üldine ohuteave... 6 Edastamine... 6 Sissejuhatus...

Rohkem

loogikaYL_netis_2018_NAIDISED.indd

loogikaYL_netis_2018_NAIDISED.indd . Lihtne nagu AB Igas reas ja veerus peavad tähed A, B ja esinema vaid korra. Väljaspool ruudustikku antud tähed näitavad, mis täht on selles suunas esimene. Vastuseks kirjutage ringidesse sattuvad tähed

Rohkem

Microsoft Word _08_D7_RU_ET--1530_kujundatud.doc

Microsoft Word _08_D7_RU_ET--1530_kujundatud.doc KASUTUSJUHEND TAIMERIGA JUHTSEADE JA ELEKTROONILISED SIDEMOODULID EST KIRJELDUS Termostaadid ja juhtseade on juhtmeta ühenduses, kasutades aktiivantenni. Tegevusraadius kinnises ruumis on umbes 50 m. Nädalaprogramm.

Rohkem

GRUPI-SMS Veebirakenduse kasutamise juhend Rakendus Elisa grupi-smsi rakendus Väljaandja Elisa Eesti AS Juhendi koostamise kuupäev Versioon

GRUPI-SMS Veebirakenduse kasutamise juhend Rakendus Elisa grupi-smsi rakendus Väljaandja Elisa Eesti AS Juhendi koostamise kuupäev Versioon GRUPI-SMS Veebirakenduse kasutamise juhend Rakendus Elisa grupi-smsi rakendus Väljaandja Elisa Eesti AS Juhendi koostamise kuupäev 05.02.2018 Versiooni kuupäev 30.01.2018 1 SISUKORD 1. ÜLEVAADE... 3 1.1

Rohkem

Mida räägivad logid programmeerimisülesande lahendamise kohta? Heidi Meier

Mida räägivad logid programmeerimisülesande lahendamise kohta? Heidi Meier Mida räägivad logid programmeerimisülesande lahendamise kohta? Heidi Meier 09.02.2019 Miks on ülesannete lahendamise käigu kohta info kogumine oluline? Üha rohkem erinevas eas inimesi õpib programmeerimist.

Rohkem

my_lauluema

my_lauluema Lauluema Lehiste toomisel A. Annisti tekst rahvaluule õhjal Ester Mägi (1983) Soran Alt q = 144 Oh se da ke na ke va de ta, ae ga i lust üü ri kes ta! üü ri kes ta! 3 Ju ba on leh tis lei na kas ke, hal

Rohkem

Microsoft PowerPoint - IRZ0050_13L.pptx

Microsoft PowerPoint - IRZ0050_13L.pptx 15. Hüdroakustilised d infohankesüsteemid id Kirjandus: Arro I. Sonartehnika, Tln., TTÜ kirjastus, 1998 Otsingu sõna: Sonar + signal processing, echo sounding, underwater acoustics, hydroacoustics, active

Rohkem

Õppematerjalide esitamine Moodle is (alustajatele) seminar sarjas Lõunatund e-õppega 12. septembril 2017 õppedisainerid Ly Sõõrd (LT valdkond) ja Dian

Õppematerjalide esitamine Moodle is (alustajatele) seminar sarjas Lõunatund e-õppega 12. septembril 2017 õppedisainerid Ly Sõõrd (LT valdkond) ja Dian Õppematerjalide esitamine Moodle is (alustajatele) seminar sarjas Lõunatund e-õppega 12. septembril 2017 õppedisainerid Ly Sõõrd (LT valdkond) ja Diana Lõvi (SV valdkond) Järgmised e-lõunad: 10. oktoober

Rohkem

VRB 2, VRB 3

VRB 2, VRB 3 Tehniline andmeleht Sadulventiilid (PN 6) VR - tee ventiil, sise- ja väliskeere 3-tee ventiil, sise- ja väliskeere Kirjeldus Omadused Mullikindel konstruktsioon Mehaaniline snepperühendus täiturmootoriga

Rohkem

Mining Meaningful Patterns

Mining Meaningful Patterns Konstantin Tretjakov (kt@ut.ee) EIO õppesessioon 19. märts, 2011 Nimetuse saladus Vanasti kandis sõna programmeerimine natuke teistsugust tähendust: Linear program (~linear plan) X ülesannet * 10 punkti

Rohkem

EUROOPA KOMISJON Brüssel, COM(2018) 284 final ANNEXES 1 to 2 LISAD järgmise dokumendi juurde: Ettepanek: Euroopa Parlamendi ja nõukogu määru

EUROOPA KOMISJON Brüssel, COM(2018) 284 final ANNEXES 1 to 2 LISAD järgmise dokumendi juurde: Ettepanek: Euroopa Parlamendi ja nõukogu määru EUROOPA KOMISJON Brüssel, 17.5.2018 COM(2018) 284 final ANNEXES 1 to 2 LISAD järgmise dokumendi juurde: Ettepanek: Euroopa Parlamendi ja nõukogu määrus, millega kehtestatakse uute raskeveokite CO2-heite

Rohkem

Lasteendokrinoloogia aktuaalsed küsimused

Lasteendokrinoloogia aktuaalsed küsimused Haigusjuht nooruki androloogiast lasteendokrinoloogi pilgu läbi Aleksandr Peet SA TÜK Lastekliinik aleksandr.peet@kliinikum.ee Neuroloogi jälgimisel vanuseni 13 a. Esmakordselt visiidil vanuses 7a Elu

Rohkem

M16 Final Decision_Recalculation of MTR for Elisa

M16 Final Decision_Recalculation of MTR for Elisa OTSUS Tallinn 20.06.2007 J.1-45/07/4 Mobiiltelefonivõrgus häälkõne lõpetamise hinnakohustuse kehtestamine Elisa Eesti AS- le Sideameti 21. märtsi 2006. a otsusega nr J.1-50/06/2 tunnistati AS EMT (edaspidi

Rohkem

PÄRNU TÄISKASVANUTE GÜMNAASIUM ESITLUSE KOOSTAMISE JUHEND Pärnu 2019

PÄRNU TÄISKASVANUTE GÜMNAASIUM ESITLUSE KOOSTAMISE JUHEND Pärnu 2019 PÄRNU TÄISKASVANUTE GÜMNAASIUM ESITLUSE KOOSTAMISE JUHEND Pärnu 2019 SISUKORD 1. SLAIDIESITLUS... 3 1.1. Esitlustarkvara... 3 1.2. Slaidiesitluse sisu... 3 1.3. Slaidiesitluse vormistamine... 4 1.3.1 Slaidid...

Rohkem

Microsoft Word - Karu 15 TERMO nr 527.doc

Microsoft Word - Karu 15 TERMO nr 527.doc Termoülevaatus nr.57 (57/1. Märts 8) Hoone andmed Aadress Lühikirjeldus Karu 15, Tallinn Termopildid Kuupäev 6.1.8 Tuule kiirus Õhutemperatuur -1,1 o C Tuule suund Osalesid Kaamera operaator Telefoni nr.

Rohkem

SIDE (IRT 3930) Loeng 2/2012 Sidevõrgud ja süsteemid Teema - signaalid Signaalid Avo Ots telekommunikatsiooni õppetool, TTÜ raadio- ja sidetehnika ins

SIDE (IRT 3930) Loeng 2/2012 Sidevõrgud ja süsteemid Teema - signaalid Signaalid Avo Ots telekommunikatsiooni õppetool, TTÜ raadio- ja sidetehnika ins SIDE (IRT 3930) Loeng 2/2012 Sidevõrgud ja süsteemid Teema - signaalid Avo Ots telekommunikatsiooni õppetool, TTÜ raadio- ja sidetehnika inst. avots@lr.ttu.ee 41 Sõnumiülekanne Sõnumit kantakse üle signaalina

Rohkem

Microsoft Word - HOTSEC kasutusjuhend v1.900.docx

Microsoft Word - HOTSEC kasutusjuhend v1.900.docx HOTSEC Tarkvara kasutusjuhend v. 1.9 1 Sisukord Käivitamine:... 3 Programmi kasutamine... 4 Kasutajate lisamine ja eemaldamine:... 6 Jooksev logi:... 9 Häired:... 9 2 HOTSEC põhioperatsioonide kirjeldus

Rohkem

Kaupmehed ja ehitusmeistrid Selle laiendusega mängimiseks on vajalik Carcassonne põhimäng. Laiendit võib mängus kasutada täielikult või osaliselt ning

Kaupmehed ja ehitusmeistrid Selle laiendusega mängimiseks on vajalik Carcassonne põhimäng. Laiendit võib mängus kasutada täielikult või osaliselt ning Kaupmehed ja ehitusmeistrid Selle laiendusega mängimiseks on vajalik Carcassonne põhimäng. Laiendit võib mängus kasutada täielikult või osaliselt ning seda saab kombineerida teiste Carcassonne laiendustega.

Rohkem

I klassi õlipüüdur kasutusjuhend

I klassi õlipüüdur kasutusjuhend I-KLASSI ÕLIPÜÜDURITE PAIGALDUS- JA HOOLDUSJUHEND PÜÜDURI DEFINITSIOON JPR -i õlipüüdurite ülesandeks on sadevee või tööstusliku heitvee puhastamine heljumist ja õlijääkproduktidest. Püüduri ülesehitus

Rohkem

Tarvikud _ Puhurid ja vaakumpumbad INW külgkanaliga Air and Vacuum Components in-eco.co.ee

Tarvikud _ Puhurid ja vaakumpumbad INW külgkanaliga Air and Vacuum Components in-eco.co.ee Tarvikud _ Puhurid ja vaakumpumbad INW külgkanaliga Air and Vacuum Components in-eco.co.ee IN-ECO, spol. s r.o. Radlinského 13 T +421 44 4304662 F +421 44 4304663 E info@in-eco.sk Õhufiltrid integreeritud

Rohkem

Microsoft PowerPoint - Tartu_seminar_2008_1 [Read-Only]

Microsoft PowerPoint - Tartu_seminar_2008_1 [Read-Only] Fundamentaalne analüüs Sten Pisang Tartu 2008 Täna tuleb juttu Fundamentaalse analüüsi olemusest Erinevatest meetoditest Näidetest 2 www.lhv.ee Mis on fundamentaalne analüüs? Fundamentaalseks analüüsiks

Rohkem

Kuidas ärgitada loovust?

Kuidas ärgitada loovust? Harjumaa ettevõtluspäev äriideed : elluviimine : edulood : turundus : eksport Äriideede genereerimine Harald Lepisk OPPORTUNITYISNOWHERE Ideed on nagu lapsed Kas tead kedagi, kelle vastsündinud laps on

Rohkem

8.klass 4 tundi nädalas, kokku 140 tundi Hulkliikmed ( 45 tundi) Õppesisu Hulkliige. Hulkliikmete liitmine ja lahutamine ning korrutamine ja jagamine

8.klass 4 tundi nädalas, kokku 140 tundi Hulkliikmed ( 45 tundi) Õppesisu Hulkliige. Hulkliikmete liitmine ja lahutamine ning korrutamine ja jagamine 8.klass 4 tundi nädalas, kokku 140 tundi Hulkliikmed ( 45 tundi) Hulkliige. Hulkliikmete liitmine ja lahutamine ning korrutamine ja jagamine üksliikmega. Hulkliikme tegurdamine ühise teguri sulgudest väljatoomisega.

Rohkem