untitled

Suurus: px
Alustada lehe näitamist:

Download "untitled"

Väljavõte

1 Riistvara kirjelduskeel VHDL L4, L5. Riistvara kirjelduskeel VHDL L6. Mäluga süsteemid VHDL-s L7. VHDL ja süntees 1 Atribuudid Atribuut on väärtus, funktsioon, tüüp, vahemik, signaal või konstant, mida võib siduda ühe või enama märgendiga VHDL kirjelduses Ilma atribuutideta signal s: bit_vector (sz-1 downto 0);... for i in 0 to sz-1 loop... Atribuutidega signal s: bit_vector (7 downto 0);... for i in s low to s high loop... for i in s reverse_range loop

2 Atribuutide kasutamine Seade- ja hoideajad mäluelementides seadeaeg (setup time) sisendsignaal peab olema stabiilne juba mingi aeg enne taktsignaali aktiivset fronti hoideaeg (hold time) sisendsignaal peab jääma stabiilseks mingi aeg pärast taktsignaali aktiivset fronti Põhjuseks signaaliteede erinevad pikkused Võib põhjustada metastabiilsust väljund ei 0 ega 1 metastabiilsus 3 Atribuutide kasutamine seadeaeg 3 ns & hoideaeg 5 ns process (clock,data_in) begin if clock'event and clock='1' then assert data_in'last_event >= 3 ns report "setup time violation" severity warning; data_out <= data_in; end if; if data_in'event and clock='1' then assert clock'last_event >= 5 ns report "hold time violation" severity warning; end if; 4 2

3 Digitaalsed simulaatorid Sama-aegsed operatsioonid modelleerimine järjestikulises süsteemis Simulatsioonitsükkel signaalide järgmiste väärtuste leidmine signaalide jooksvate väärtuste värskendamine Tsükkelpõhised ja sündmustepõhised cycle-based vs. event-based Viite modelleerimine ühikviide (unit-delay) nullviide (zero-delay) deltaviide (delta-delay) 5 Ühikviide Peamiselt tsükkelpõhised simulaatorid Igas simulatsioonitsüklis arvuta (kõigi) signaalide uued väärtused värskenda signaalide väärtused suurenda simulatsiooniaega ühe ühiku võrra [ja korda tsüklit 1-st alates] leia uued väärtused värskenda väärtused 6 3

4 Ühikviide X1 <= a and b; x2 <= not c; y <= x1 xor x2; a b c x1 a b x1 y x2 y c x2 sündmuste jadad t b=1 c=0 t+1ns t+2ns x1=1 y=0 x2=1 time [ns] 7 Nullviide Sündmustepõhised simulaatorid Simulatsioonitsükkel - tsükkel tsüklis sündmuse alusel arvuta uus väärtus, eemalda sündmus lisa uus sündmus sündmuste jadasse korda 1-st alates kuni leidub jooksvale simulatsiooniajale planeeritud sündmusi suurenda simulatsiooniaega ühe ühiku võrra [ja korda tsüklit 1-st alates] leia uus väärtus, eemalda sündmus lisa uus sündmus suurenda simul.aega 8 4

5 Nullviide (#1) X1 <= a and b; x2 <= not c; y <= x1 xor x2; a b c x1 a b x1 y x2 y c x2 sündmuste jadad t t t b=1 c=0 b=1 c=0 c=0 x1=1 x1=1 x2=1 t x1=1 x2=1 y=0 t x2=1 y=0 time [ns] 9 Nullviide (#2) X1 <= a and b; x2 <= not c; y <= x1 xor x2; a b c x1 a b x1 y x2 y c x2 sündmuste jadad t t t b=1 c=0 b=1 x1=1 x1=1 y=1 c=0 c=0 t y=1 c=0 x2=1 t x2=1 y=0 time [ns] 10 5

6 Deltaviide VHDL VHDL Δ-viide ( Δ-delay, δ-delay ) Simulatsioonitsükkel - tsükkel tsüklis tsüklis kõikide sündmuste jaoks arvuta uued väärtused (1. tsükkel) eemalda kasutatud ja lisa uued sündmused sündmuste jadasse korda 1-st alates kuni leidub jooksvale simulatsiooniajale planeeritud sündmusi suurenda simulatsiooniaega ühe ühiku võrra [ja korda tsüklit 1-st alates] leia uued väärtused kõikidele jooksvatele sündmustele eemalda vanad ja lisa uued sündmused suurenda simul.aega 11 Deltaviide X1 <= a and b; x2 <= not c; y <= x1 xor x2; a b c x1 a b x1 y x2 y c x2 t t+δ t+2 Δ b=1 x1=1 y=0 c=0 x2=1 time [ns] 12 6

7 Protsess entity / architecture / component struktuuri elemendid process mudeli käitumine sisaldab ajastuse kontrolli sama-aegselt täidetav käsk (ehk andmevoo käsk) == tundlikkuse nimistuga protsess 13 Protsess Andmevoo käsk x <= a and b after 5 ns; Ekvivalentne protsess process ( a, b ) begin x <= a and b after 5 ns; 14 7

8 Protsess Ekvivalentsed protsessid (järg) process begin wait on a, b; x <= a and b after 5 ns; process variable tmp: bit; begin wait on a, b; tmp := a and b; wait for 5 ns; x <= tmp; 15 Protsess Tundlikkuse nimistu sensitivity list process ( a, b ) begin x <= a and b after 5 ns; process begin wait on a, b; x <= a and b after 5 ns; 16 8

9 Protsess Ajakontroll alguses või lõpus? process begin wait on a, b; x <= a and b after 5 ns; process begin x <= a and b after 5 ns; wait on a, b; 17 Ajakontroll Omistamise viivitamine -- after T; Tundlikkuse nimistu Ootekäsud oota signaali sündmust: wait on x; oota tingimuse täitumist: wait until x= 1 ; oota määratud aeg: wait for 20 us; oota (igavesti): wait; kombineeritult: wait on clk until clk= 1 and ready= 1 for 1 us; 18 9

10 Inertsiaal- ja transportviide output <= input after 10ns; output <= [inertial] input after 10ns; -- VHDL VHDL 93 output <= transport input after 10ns; 19 Operatsioonid & avaldised Omistamised signaalile muutujale avaldised x <= avaldis [after aeg]; x := avaldis; avaldis operatsioon avaldis muutuja signaal funktsioonipöördus Kontrollvoo käsud tingimuslikud if-then-else, case tsüklid for-loop, while-loop protseduuripöördus ajakontroll 20 10

11 Kontrollvoo käsud Tingimuslikud käsud if-then-else [märgend:] if tingimusavaldis then operatsioonide jada elsif tingimusavaldis then operatsioonide jada else operatsioonide jada end if [märgend]; tingimusavaldis - tõeväärtustüüpi case [märgend:] case avaldis is when väärtus [ väärtus] => operatsioonide jada when others => null end case [märgend]; 21 Kontrollvoo käsud Tsüklid [kordusmärgend:] [iteratsiooniskeem] loop operatsioonide jada end loop [kordusmärgend]; iteratsiooniskeem ::= while tingimusavaldis for loendur in vahemik exit [kordusmärgend] [when tingimusavaldis]; next [kordusmärgend] [when tingimusavaldis]; vahemik ::= avaldis to avaldis avaldis downto avaldis tüüp range

12 Kontrollvoo käsud for-loop for I in my_array range loop next when I<lower_limit; exit when I>upper_limit; sum := sum + my_array(i); end loop; while-loop while a<10 loop a := a + 1; end loop; loop loop exit when not a<10; a := a + 1; end loop; 23 Funktsioonid & protseduurid Käitumuslik hierarhia funktsioon (function) kasutatav avaldistena ei tohi sisaldada ajakontrolli käske ainult sisendparameetrid (konstantidena) protseduur (procedure) kasutatav operatsioonina (lausena) võib sisaldada ajakontrolli käske sisendparameetrid (konstandid) väljundparameetrid (muutajad/signaalid) 24 12

13 Funktsioonid & protseduurid Deklareerimine paketid (pakage) arhitektuuri, protsessi, funktsiooni, protseduuri jne. deklaratiivne osa Sisu paketikeha (pakage body) arhitektuuri, protsessi, funktsiooni, protseduuri jne. deklaratiivne osa koos deklareerimisega 25 Funktsioonid function conv_boolean (a: signed) return boolean is begin if to_bit(a(a low))= 1 then return TRUE; else return FALSE; end if; end conv_boolean; function and (l,r: signed) return signed is begin return signed(std_logic_vector(l) and std_logic_vector(r)); end; signal a, b, x: signed (7 downto 0); signal y: boolean; X <= a and b; y <= conv_boolean(a); 26 13

14 Protseduurid PACKAGE adder_elements IS -- full_adder : 1-bit full adder (declaration) PROCEDURE full_adder (CONSTANT a0, b0, c0: IN bit; VARIABLE o0, c1: OUT bit); END adder_elements; PACKAGE BODY adder_elements IS PROCEDURE half_adder (CONSTANT a0, b0: IN bit; VARIABLE o0, c1: OUT bit) IS BEGIN o0 := a0 XOR b0; c1 := a0 AND b0; END half_adder; PROCEDURE full_adder (CONSTANT a0, b0, c0: IN bit; VARIABLE o0, c1: OUT bit) IS VARIABLE c_1, c_2, o_1: bit; BEGIN half_adder ( a0, b0, o_1, c_1 ); half_adder ( o_1, c0, o0, c_2 ); c1 := c_1 or c_2; END full_adder; END adder_elements; 27 Paketid & teegid Korduvkasutus andmetüübid funktsioonid / protseduurid komponendid Pakett (package) deklaratsioonide kogum Teek (library) pakettide kogum disainiüksuste (entity/architecture) kogum 28 14

15 IEEE standardloogika mudel Std_Ulogic Type (IEEE 1164) U uninitialized mudeli käitumine X forcing unknown mudeli käitumine 0 forcing 0 loogikanivoo ( transistor ) 1 forcing 1 loogikanivoo ( transistor ) Z high impedance ühendamata W weak unknown mudeli käitumine L weak 0 loogikanivoo ( takisti ) H weak 1 loogikanivoo ( takisti ) - don t care (optimeerimiseks) 29 Üldistatud parameetrid generics -- moodus parameetrite edastamiseks -- Address generator - entity library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity agener is generic ( bitwidth: positive ); port ( clock: in bit; reset, enable: in std_logic; start_address, stop_address: in unsigned(bitwidth-1 downto 0); address: out unsigned(bitwidth-1 downto 0) ); end agener; and somewhere in the architecture signal count: unsigned(bitwidth-1 downto 0); 30 15

16 Disaini struktureerimine Kergem koodi hallata Moodulite korduvkasutatavus granulaarsus struktuuriüksus VHDL konstruktsioon jäme entity / architecture vastavused configuration jäme primaarne disainiüksus entity / architecture jäme/keskmine sama-aegsete käskude kordamine for / if - generate jäme/keskmine sama-aegsete käskude grupeerimine block keskmine järjestikuliste käskude grupeerimine process peen alamprogramm procedure / function 31 VHDL ja digitaalsüsteem steem process (a, b, c) begin x <= f (a, b); y <= g (b, c); Funktsionaalsed sõlmed process (clk) begin if clk event and clk= 1 then q <= d; end if; + / - < / > RG RG Mäluelemendid 32 16

SQL

SQL SQL Kuues loeng 3GL inside 4GL Protseduurid Funktsioonid Tavalised Funktsioonid (üks väljund) Ilma väljundita Protseduurid Viitargumentide kasutamise võimalus Tabel-väljundiga Protseduurid Create function

Rohkem

Failiotsing: find paljude võimalustega otsingukäsk find kataloog tingimused kataloog - otsitakse sellest kataloogist ja tema alamkataloogidest tingimu

Failiotsing: find paljude võimalustega otsingukäsk find kataloog tingimused kataloog - otsitakse sellest kataloogist ja tema alamkataloogidest tingimu Failiotsing: find paljude võimalustega otsingukäsk find kataloog tingimused kataloog - otsitakse sellest kataloogist ja tema alamkataloogidest tingimused: faili nimi faili vanus faili tüüp... 1 Failiotsing:

Rohkem

Funktsionaalne Programmeerimine

Funktsionaalne Programmeerimine Kõrvalefektid ja Haskell Kõik senised programmid on olnud ilma kõrvalefektideta; so. puhtalt funktsionaalsed. Programmi täitmise ainsaks efektiks on tema väartus. Osade ülesannete jaoks on kõrvalefektid

Rohkem

AWK Aho Weinberger Kernighan struktuurse teksti töötlemise keel rikkalikult tekstitöötlusvahendeid omal alal suhteliselt lihtne ja kiiresti realiseeri

AWK Aho Weinberger Kernighan struktuurse teksti töötlemise keel rikkalikult tekstitöötlusvahendeid omal alal suhteliselt lihtne ja kiiresti realiseeri AWK Aho Weinberger Kernighan struktuurse teksti töötlemise keel rikkalikult tekstitöötlusvahendeid omal alal suhteliselt lihtne ja kiiresti realiseeritav AWK kasutusalad raportite genereerimine ühest formaadist

Rohkem

(loeng3-ohtlikud_koodiloigud)

(loeng3-ohtlikud_koodiloigud) #include int main (void) uint8_t arr[] = 0x11, 0x22 uint16_t *ptr; ptr = (uint16_t*)&arr[0]; printf ("arr: 0x%02x, 0x%02x\n", arr[0], arr[1]); printf ("ptr: 0x%04x\n", *ptr); /* vigane pointeri

Rohkem

PHP

PHP PHP Autorid: Aleksandr Vaskin Aleksandr Bogdanov Keelest Skriptikeel skript teeb oma tööd pärast seda, kui toimus mingi sündmus* Orienteeritud programmeerija eesmärkide saavutamiseks (mugavus on tähtsam

Rohkem

Andmebaasid, MTAT Andmebaasikeeled 11.loeng

Andmebaasid, MTAT Andmebaasikeeled 11.loeng Andmebaasid, MTAT.03.264 Andmebaasikeeled 11. loeng Anne Villems Eksamiaegade valimine Kas on vaja eksamiaega mai lõpus? I eksami aeg. valikud: 3., 4. või 5. juuni kell 10.00 II eksami aeg. 17. kell 12.00

Rohkem

SQL

SQL SQL Teine loeng Mõtelda CREATE TABLE ( { INTEGER VARCHAR(10)} [ NOT NULL] ); Standard SQL-86 (ANSI X3.135-1986), ISO võttis üle 1987 SQL-89 (ANSIX3.135-1989) SQL-92 (ISO/IEC 9075:1992)

Rohkem

DE_loeng5

DE_loeng5 Digitaalelektroonika V loeng loogikalülitused KMOP transistoridega meeldetuletus loogikalülitused TTL baasil baaslülitus inverteri tunnusjooned ja hilistumine LS lülitus kolme olekuga TTL ja avatud kollektoriga

Rohkem

Andmed arvuti mälus Bitid ja baidid

Andmed arvuti mälus Bitid ja baidid Andmed arvuti mälus Bitid ja baidid A bit about bit Bitt, (ingl k bit) on info mõõtmise ühik, tuleb mõistest binary digit nö kahendarv kahe võimaliku väärtusega 0 ja 1. Saab näidata kahte võimalikku olekut

Rohkem

TARTU ÜLIKOOL MATEMAATIKA-INFORMAATIKATEADUSKOND Arvutiteaduse instituut Infotehnoloogia eriala Roman Jagomägis Programmeerimiskeel privaatsust säilit

TARTU ÜLIKOOL MATEMAATIKA-INFORMAATIKATEADUSKOND Arvutiteaduse instituut Infotehnoloogia eriala Roman Jagomägis Programmeerimiskeel privaatsust säilit TARTU ÜLIKOOL MATEMAATIKA-INFORMAATIKATEADUSKOND Arvutiteaduse instituut Infotehnoloogia eriala Roman Jagomägis Programmeerimiskeel privaatsust säilitavate rakenduste loomiseks Bakalaureusetöö (4 AP) Juhendaja:

Rohkem

Rakenduste loomise ja programmeerimise alused

Rakenduste loomise ja programmeerimise alused Rakenduste loomise ja programmeerimise alused VBA. Sissejuhatus Keskkonna seadistamine VBA programmide kasutamiseks MS Office s VBA-d kasutavad MS Office failid (alates MS Office 2007), tuleb salvestada

Rohkem

Programmeerimiskeel APL Raivo Laanemets 17. mai a.

Programmeerimiskeel APL Raivo Laanemets 17. mai a. Programmeerimiskeel APL Raivo Laanemets 17. mai 2009. a. Sissejuhatus I APL - A Programming Language I Kenneth E. Iverson (1920-2004) I Elukutselt matemaatik I Uuris matemaatilist notatsiooni I 1960 -

Rohkem

Word Pro - digiTUNDkaug.lwp

Word Pro - digiTUNDkaug.lwp / näide: \ neeldumisseadusest x w x y = x tuleneb, et neeldumine toimub ka näiteks avaldises x 2 w x 2 x 5 : x 2 w x 2 x 5 = ( x 2 ) w ( x 2 ) [ x 5 ] = x 2 Digitaalskeemide optimeerimine (lihtsustamine)

Rohkem

Infix Operaatorid I Infix operaatorid (näiteks +) ja tüübid (näiteks ->) kirjutatakse argumentide vahele, mitte argumentide ette. Näiteks: 5 + 2, 2*pi

Infix Operaatorid I Infix operaatorid (näiteks +) ja tüübid (näiteks ->) kirjutatakse argumentide vahele, mitte argumentide ette. Näiteks: 5 + 2, 2*pi Infix Operaatorid I Infix operaatorid (näiteks +) ja tüübid (näiteks ->) kirjutatakse argumentide vahele, mitte argumentide ette. Näiteks: 5 + 2, 2*pi*r^2, Float -> Int Infixoperaatori kasutamiseks prefix-vormis

Rohkem

Microsoft PowerPoint - RRand_MEMS.ppt

Microsoft PowerPoint - RRand_MEMS.ppt MEMS sensorid Rait Rand 1 Sissejuhatus MEMS MEMS : Micro-electromechanical systems 1974.a. rõhusensor masstoodangus 1988.a. mikromehhaanika ühendati elektroonikaga Süsteemina Sensorsüsteemid Aktuaatorsüsteemid

Rohkem

Neurovõrgud. Praktikum aprill a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust k

Neurovõrgud. Praktikum aprill a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust k Neurovõrgud. Praktikum 11. 29. aprill 2005. a. 1 Stohhastilised võrgud Selles praktikumis vaatleme põhilisi stohhastilisi võrke ning nende rakendust kombinatoorsete optimiseerimisülesannete lahendamiseks.

Rohkem

Süsteemide modelleerimine: praktikum Klassiskeemid Oleg Mürk

Süsteemide modelleerimine: praktikum Klassiskeemid Oleg Mürk Süsteemide modelleerimine: praktikum Klassiskeemid Oleg Mürk Klassiskeem (class diagram) Klass (class) atribuut (attribute) meetod (method) Liides (interface) meetod (method) Viidatavus (visibility) avalik

Rohkem

Outlookist dokumendi registreerimine Plugina seadistamine Dokumendi registreerimine Outlookist Vastusdokumendi registreerimine Outlookist Outlooki plu

Outlookist dokumendi registreerimine Plugina seadistamine Dokumendi registreerimine Outlookist Vastusdokumendi registreerimine Outlookist Outlooki plu Outlookist dokumendi registreerimine Plugina seadistamine Dokumendi registreerimine Outlookist Vastusdokumendi registreerimine Outlookist Outlooki plugina ikoon on kadunud Outlooki kasutajad saavad dokumente

Rohkem

Word Pro - diskmatTUND.lwp

Word Pro - diskmatTUND.lwp Loogikaalgebra ( Boole'i algebra ) George Boole (85 864) Sündinud Inglismaal Lincolnis. 6-aastasena tegutses kooliõpetaja assistendina. Õppis 5 aastat iseseisvalt omal käel matemaatikat, keskendudes hiljem

Rohkem

I Generaatori mõiste (Java) 1. Variantide läbivaatamine Generaator (ehk generaator-klass) on klass, milles leidub (vähemalt) isendimeetod next(). Kons

I Generaatori mõiste (Java) 1. Variantide läbivaatamine Generaator (ehk generaator-klass) on klass, milles leidub (vähemalt) isendimeetod next(). Kons I Generaatori mõiste (Java) 1. Variantide läbivaatamine Generaator (ehk generaator-klass) on klass, milles leidub (vähemalt) isendimeetod next(). Konstruktorile antakse andmed, mis iseloomustavad mingit

Rohkem

MTAT Operatsioonisüsteemid - Turvalisus

MTAT Operatsioonisüsteemid - Turvalisus Regulaaravaldised ja skriptimine Windows ja UNIX operatsioonisüstemides WINDOWS 1. slaid Windows käsurida Käsureaks nimetan programme: cmd.exe powershell.exe command.com Nendesse saab kirjutada käske,

Rohkem

Scala ülevaade 1 Meetodid, muutujad ja väärtused. Süntaks 2 Lihtsad tüübid ja väärtused. 3 OOP, case-klassid ja mustrisobitus. 4 Puhta Scala väärtusta

Scala ülevaade 1 Meetodid, muutujad ja väärtused. Süntaks 2 Lihtsad tüübid ja väärtused. 3 OOP, case-klassid ja mustrisobitus. 4 Puhta Scala väärtusta Scala ülevaade 1 Meetodid, muutujad ja väärtused. Süntaks 2 Lihtsad tüübid ja väärtused. 3 OOP, case-klassid ja mustrisobitus. 4 Puhta Scala väärtustamine. 5 Keerulisemad tüübid. 6 Nähtavus, implitsiitsus.

Rohkem

(Tõrked ja töökindlus \(2\))

(Tõrked ja töökindlus \(2\)) Elektriseadmete tõrked ja töökindlus Click to edit Master title style 2016 sügis 2 Prof. Tõnu Lehtla VII-403, tel.6203 700 http://www.ttu.ee/energeetikateaduskond/elektrotehnika-instituut/ Kursuse sisu

Rohkem

MOOCi „Programmeerimise alused“ ülesannete lahenduste analüüs

MOOCi „Programmeerimise alused“ ülesannete lahenduste analüüs TARTU ÜLIKOOL LOODUS- JA TÄPPISTEADUSTE VALDKOND Arvutiteaduse instituut Informaatika õppekava Helen Hendrikson MOOCi Programmeerimise alused ülesannete lahenduste analüüs Bakalaureusetöö (9 EAP) Juhendaja:

Rohkem

Loeng05

Loeng05 SIDE (IRT 3930) Loeng 5/2009 Võrgu- ja kanaliprotokollid Teema - kanalid Avo Ots telekommunikatsiooni õppetool, TTÜ raadio- ja sidetehnika inst. avots@lr.ttu.ee Kanalid 145 Ühendamise mudel 7 7 6 5 4 3

Rohkem

DIGITAALTEHNIKA DIGITAALTEHNIKA Arvusüsteemid Kümnendsüsteem Kahendsüsteem Kaheksandsüsteem Kuueteistkü

DIGITAALTEHNIKA DIGITAALTEHNIKA Arvusüsteemid Kümnendsüsteem Kahendsüsteem Kaheksandsüsteem Kuueteistkü DIGITAALTEHNIKA DIGITAALTEHNIKA... 1 1. Arvusüsteemid.... 2 1.1.Kümnendsüsteem....2 1.2.Kahendsüsteem.... 2 1.3.Kaheksandsüsteem.... 2 1.4.Kuueteistkümnendsüsteem....2 1.5.Kahendkodeeritud kümnendsüsteem

Rohkem

Kommunikatsiooniteenuste arendus (IRT0080) loeng 8/2014 Võrkude haldus Avo 1

Kommunikatsiooniteenuste arendus (IRT0080) loeng 8/2014 Võrkude haldus Avo 1 Kommunikatsiooniteenuste arendus (IRT0080) loeng 8/2014 Võrkude haldus Avo avo.ots@ttu.ee 1 Infovood (voice data) 2 Standardimine ja tähistamine 3 Cross Layer Design Wireless Networking Architecture: Connection

Rohkem

E-R mudel

E-R mudel Uued mõisted. Tudeng peab olema suuteline selgitama järgmisi mõisteid: olem (nõrk- tugev; domineeriv-alluv), olemite klass, tunnused, seosed, nende tüübid, võti (võtme kandidaat, primaarne võti, supervõti),

Rohkem

Pealkiri

Pealkiri Andmebaasid II praktikum Andmebaaside administreerimine Andmete sisestamine KESKKOND, KASUTAJAD, ÕIGUSED Mõisted Tabelid, vaated, trigerid, jpm on objektid Objektid on grupeeritud skeemi Skeemid moodustavad

Rohkem

Kerala State Haj Committee HAJ 2014 Flight Manifest FLIGHT NO. SV-5731 DATE: 14/09/2014 TIME: 16:35 RETURN FLIGHT NO: SV-5764 DATE: Cov No

Kerala State Haj Committee HAJ 2014 Flight Manifest FLIGHT NO. SV-5731 DATE: 14/09/2014 TIME: 16:35 RETURN FLIGHT NO: SV-5764 DATE: Cov No Kerala State Haj Committee HAJ 2014 Flight Manifest FLIGHT NO. SV-5731 DATE: 14/09/2014 TIME: 16:35 RETURN FLIGHT NO: SV-5764 DATE: 20-10-2014 Cov No s: KLR-53-3-0 KLR-65-2-0 KLR-66-2-0 KLR-97-2-0 KLR-119-3-0

Rohkem

PowerPointi esitlus

PowerPointi esitlus Regulaaravaldised ja skriptimine Windows ja UNIX operatsioonisüsteemides WINDOWS 1. slaid Windows käsurida Käsureaks nimetan programme: cmd.exe powershell.exe Nendesse saab kirjutada käske, millega näiteks

Rohkem

MTAT Operatsioonisüsteemid - Turvalisus

MTAT Operatsioonisüsteemid - Turvalisus Regulaaravaldised ja skriptimine Windows ja UNIX operatsioonisüstemides WINDOWS 1. slaid Windows käsurida Käsureaks nimetan programme: cmd.exe powershell.exe command.com (tänapäevastes OS ei kasutata)

Rohkem

Anneli Areng Kaja Pastarus Matemaatika tööraamat 5. klassile II osa

Anneli Areng Kaja Pastarus Matemaatika tööraamat 5. klassile II osa Anneli Areng Kaja Pastarus Matemaatika tööraamat 5. klassile II osa Anneli Areng Kaja Pastarus Matemaatika tööraamat 5. klassile II osa Minu nimi on... Õpin...... 2013 Anneli Areng, Kaja Pastarus Matemaatika

Rohkem

Relatsiooniline andmebaaside teooria II. 6. Loeng

Relatsiooniline andmebaaside teooria II. 6. Loeng Relatsiooniline andmebaaside teooria II. 5. Loeng Anne Villems ATI Loengu plaan Sõltuvuste pere Relatsiooni dekompositsioon Kadudeta ühendi omadus Sõltuvuste pere säilitamine Kui jõuame, siis ka normaalkujud

Rohkem

Loeng05

Loeng05 Sissejuhatus GNU/Linux süsteemi ja selle haldamisse Linux administreerimine I357 Margus Ernits Katrin Loodus 2014 Facter Puppet agendi masinas saame kasutada eeldefineeritud fakte, mida saame muutujatena

Rohkem

MTAT Operatsioonisüsteemid - protsessid

MTAT Operatsioonisüsteemid - protsessid Operatsioonisüsteemid Mõiste Tuumafunktsioonid Protsessihaldus 1. slaid Arvutisüsteem Kasutaja 1 Kasutaja 2 Kasutaja N Kompilaatorid Kujundusprogrammid Tekstiredaktorid Andmebaasisüsteemid RAKENDUSPROGRAMMID

Rohkem

StandardBooks_versiooni_uuendusWin

StandardBooks_versiooni_uuendusWin Versiooni uuendamine Standard Books 7.2 põhjal Windows 7 või uuemale operatsioonisüsteemile SISUKORD 1. ÜKSIKKASUTAJA VERSIOONI INSTALLEERIMINE...lk 2 2. SERVER/MITMEKASUTAJA VERSIOONI INSTALLEERIMINE.lk

Rohkem

Eksam õppeainetes "Andmebaasid I" (IDU0220) ja "Andmebaaside projekteerimine" (IDU3381)

Eksam õppeainetes  Andmebaasid I (IDU0220) ja Andmebaaside projekteerimine (IDU3381) Eksam õppeainetes "Andmebaasid I" (IDU0220) ja "Andmebaaside projekteerimine" (IDU3381) 1.Eksamiajad ja registreerumine Eksamiajad "Andmebaasid I" (IDU0220) Kuupäev Kellaaeg Ruum Maksimaalne osalejate

Rohkem

Operatsioonisüsteemide ehitus

Operatsioonisüsteemide ehitus Lõimed Ülevaade Lõime mõiste Lõimede mudelid Probleemid lõimedega seoses Pthreads Solarise lõimed Windows 2000 lõimed Linuxi lõimed Java lõimed VARMO VENE & MEELIS ROOS 2 Ühe- ja mitmelõimelised protsessid

Rohkem

E-arvete juhend

E-arvete juhend E- arvete seadistamine ja saatmine Omniva kaudu Standard Books 7.2 põhjal Mai 2015 Sisukord Sissejuhatus... 3 Seadistamine... 3 Registreerimine... 4 E- arve konto... 5 Vastuvõtu eelistus... 5 Valik E-

Rohkem

Funktsionaalne Programmeerimine

Funktsionaalne Programmeerimine Geomeetrilised kujundid Geomeetriliste kujundite definitsioon: data Shape = Rectangle Side Side Ellipse Radius Radius RtTriangle Side Side Polygon [Vertex] deriving Show type Radius = Float type Side =

Rohkem

Raili Veelmaa Eve Värv Ivi Madison Meelika Maila Matemaatika tööraamat 6. klassile I osa

Raili Veelmaa Eve Värv Ivi Madison Meelika Maila Matemaatika tööraamat 6. klassile I osa Raili Veelmaa Eve Värv Ivi Madison Meelika Maila Matemaatika tööraamat 6. klassile I osa Raili Veelmaa Eve Värv Ivi Madison Meelika Maila Matemaatika tööraamat 6. klassile I osa Minu nimi on... Õpin......

Rohkem

SAF 7 demo paigaldus. 1.Eeldused SAF 7 demo vajab 32- või 64-bitist Windows 7, Window 8, Windows 10, Windows Server 2008 R2, Windows Server 2012, Wind

SAF 7 demo paigaldus. 1.Eeldused SAF 7 demo vajab 32- või 64-bitist Windows 7, Window 8, Windows 10, Windows Server 2008 R2, Windows Server 2012, Wind SAF 7 demo paigaldus. 1.Eeldused SAF 7 demo vajab 32- või 64-bitist Windows 7, Window 8, Windows 10, Windows Server 2008 R2, Windows Server 2012, Windows Server 2012 R2, Windows Server 2016 või Windows

Rohkem

EST_QIG_TEW-424UB(V3.1.1).cdr

EST_QIG_TEW-424UB(V3.1.1).cdr Lühike paigaldusjuhend TEW-424UB Sisukord Eesti... 1 1. Enne alustamist... 1 2. Kuidas paigaldada... 2 3. WiFi seadistamine... 4 Tõrkeotsing... 6 Version 12.05.2007 1. Enne alustamist Pakendi sisu TEW-424UB

Rohkem

SINU UKS DIGITAALSESSE MAAILMA Ruuter Zyxel LTE3302 JUHEND INTERNETI ÜHENDAMISEKS

SINU UKS DIGITAALSESSE MAAILMA Ruuter Zyxel LTE3302 JUHEND INTERNETI ÜHENDAMISEKS SINU UKS DIGITAALSESSE MAAILMA Ruuter Zyxel LTE3302 JUHEND INTERNETI ÜHENDAMISEKS OLULINE TEAVE: LOE ENNE RUUTERI ÜHENDAMIST! Ruuter on sinu uks digitaalsesse maailma. Siit saavad alguse kõik Telia teenused

Rohkem

Microsoft Word - Referaat.docx

Microsoft Word - Referaat.docx Tartu Ülikool Andmeturve Referaat teemal: CVE-2016-1499 Koostaja: Sander Sats Kursus: Informaatika Tartu 2016 Sissejuhatus Käesolev referaat on kirjutatud seoses Tartu Ülikooli kursuse MTAT.03.134 Andmeturve

Rohkem

Linux süsteemi administreerimine

Linux süsteemi administreerimine Protsesside, mälu jm haldamine Linuxi ehitus (struktuur) Lihtsustatult Protsess Multitasking - palju protsesse töötab paralleelselt Tuumas asub protsesside tabel igal protsessil on identifikaator PID igal

Rohkem

OPERATSIOONISÜSTEEMIDE EHITUS Ülevaade Protsessi mõiste Protsesside planeerimine Operatsioonid protsessidega Protsessihaldus Protsesside koostöö Prots

OPERATSIOONISÜSTEEMIDE EHITUS Ülevaade Protsessi mõiste Protsesside planeerimine Operatsioonid protsessidega Protsessihaldus Protsesside koostöö Prots Ülevaade Protsessi mõiste Protsesside planeerimine Operatsioonid protsessidega Protsessihaldus Protsesside koostöö Protsessidevaheline side Side klient-serversüsteemides MEELIS ROOS 2 Protsessi mõiste

Rohkem

X Window System tuntud kui: X11 X X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesugus

X Window System tuntud kui: X11 X X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesugus X Window System tuntud kui: X11 X X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesugused realisatsioonid ka Windowsile erinevad realisatsioonid

Rohkem

1 / loeng Tekstitöötlus Sisend/väljund Teksti lugemine Sõnad

1 / loeng Tekstitöötlus Sisend/väljund Teksti lugemine Sõnad 1 / 16 7. loeng Tekstitöötlus Sisend/väljund Teksti lugemine Sõnad 2 / 16 Sisend/väljund vaikimisi: Termid: read, write?-read(x). : 2+3. X = 2+3.?-write(2+3). 2+3 true. Jooksva sisendi vaatamine: seeing?-

Rohkem

Skriptid ja käsud

Skriptid ja käsud TTÜ informaatikainstituut Skriptid ja käsud Skript on Scratchi programmi suhteliselt sõltumatu üksus, mida mõnedes programmeerimiskeeltes nimetatakse protseduurideks või funktsioonideks. Skript on alati

Rohkem

Microsoft Word - installation-guide.doc

Microsoft Word - installation-guide.doc Dokumendi ajalugu: Versioon Kuupäev Tegevus Autor 1.0 12.04.2008 Dokumendi loomine Maris Aavik 1.1 13.04.2008 Täiendamine Maris Aavik 1.2 13.04.2008 Täiendamine Andres Kalle 1.3 12.05.2008 Täiendused Kerli

Rohkem

ISS0050 Mõõtmine

ISS0050 Mõõtmine MHK0120 SISSEJUHATUS MEHHATROONIKASSE Sügis 2018 Tagasiside Martin Jaanus U02-308 (hetkel veel) martin.jaanus@ttu.ee 620 2110, 56 91 31 93 Õppetöö : http://isc.ttu.ee Õppematerjalid : http://isc.ttu.ee/martin

Rohkem

Microsoft PowerPoint - IRZ0050_13L.pptx

Microsoft PowerPoint - IRZ0050_13L.pptx 15. Hüdroakustilised d infohankesüsteemid id Kirjandus: Arro I. Sonartehnika, Tln., TTÜ kirjastus, 1998 Otsingu sõna: Sonar + signal processing, echo sounding, underwater acoustics, hydroacoustics, active

Rohkem

Document number:

Document number: WNR Kiirpaigaldusjuhend Lisateavet, juhised ja uuendused saab leida internetist aadressil http://www.a-link.com Kiirpaigaldusjuhend Komplekt sisaldab: - WNR repiiter - Paigaldusjuhend Ühendused / Ports:

Rohkem

Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2

Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2 Automaatjuhtimise alused Automaatjuhtimissüsteemi kirjeldamine Loeng 2 Laplace'i teisendus Diferentsiaalvõrrandite lahendamine ilma tarkvara toeta on keeruline Üheks lahendamisvõtteks on Laplace'i teisendus

Rohkem

TARTU ÜLIKOOL Arvutiteaduse instituut Informaatika õppekava Mirjam Iher Nõrgima eeltingimuse staatiline analüüs pinukeeltele Bakalaureusetöö (9 EAP) J

TARTU ÜLIKOOL Arvutiteaduse instituut Informaatika õppekava Mirjam Iher Nõrgima eeltingimuse staatiline analüüs pinukeeltele Bakalaureusetöö (9 EAP) J TARTU ÜLIKOOL Arvutiteaduse instituut Informaatika õppekava Mirjam Iher Nõrgima eeltingimuse staatiline analüüs pinukeeltele Bakalaureusetöö (9 EAP) Juhendaja: Juhendaja: Kalmer Apinis, PhD Vesal Vojdani,

Rohkem

Loeng07

Loeng07 Tulemüürid - kordamine Loeng 07 Tulemüürid - kordamine Tulemüürid iptables/netfilter Margus Ernits margus.ernits@itcollege.ee 1 Tulemüürid võib tinglikult jagada kaheks Tulemüürid IP pakette filtreerivad

Rohkem

Side

Side SIDE (IRT 90) Loeng Signaalid sidekanalis Teema - signaalid Signaaliülekanne üüsilises kanalies Põhiriba signaal ja selle esius Kisaribalised ja laiaribalised signaalid vs kanalid Häirekindluse agamine

Rohkem

Sissejuhatus GRADE metoodikasse

Sissejuhatus GRADE metoodikasse Sissejuhatus GRADE metoodikasse Eriline tänu: Holger Schünemann ja GRADE working group www.gradeworkinggroup.org Kaja-Triin Laisaar TÜ peremeditsiini ja rahvatervishoiu instituut kaja-triin.laisaar@ut.ee

Rohkem

X Window System tuntud kui: X11, X, X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesug

X Window System tuntud kui: X11, X, X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesug X Window System tuntud kui: X11, X, X-Windows akendussüsteem/akendesüsteem rastergraafikat toetavatele ekraanidele UNIX-maailmas väga levinud mitmesugused realisatsioonid ka Windowsile erinevad realisatsioonid

Rohkem

VN247 seeria LCD monitor Kasutusjuhend

VN247 seeria LCD monitor Kasutusjuhend VN247 seeria LCD monitor Kasutusjuhend Sisukord Teatised... iii Ohutusteave... iv Hooldus ja puhastamine... v 1.1 Tere tulemast!... 1-1 1.2 Pakendi sisu... 1-1 1.3 Monitori aluse koostamine... 1-2 1.4

Rohkem

Markina

Markina EUROOPA NOORTE ALKOHOLITARBIMISE PREVENTSIOONI PRAKTIKAD JA SEKKUMISED Anna Markina Tartu Ülikool Meie ülesanne on: Tuvastada ja välja valida erinevaid programme ja sekkumist, mida on hinnatud ja mille

Rohkem

Microsoft Word - ref - Romet Piho - Tutorial D.doc

Microsoft Word - ref - Romet Piho - Tutorial D.doc Tartu Ülikool Andmetöötluskeel "Tutorial D" realisatsiooni "Rel" põhjal Referaat aines Tarkvaratehnika Romet Piho Informaatika 2 Juhendaja Indrek Sander Tartu 2005 Sissejuhatus Tänapäeval on niinimetatud

Rohkem

Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad ko

Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad ko Tehniline andmeleht Sadulventiilid (PN 16) VRG 2 2-tee ventiil, väliskeermega VRG 3 3-tee ventiil, väliskeermega Kirjeldus Ventiilid on kasutatavad koos AMV(E) 335, AMV(E) 435 ja AMV(E) 438 SU täiturmootoritega.

Rohkem

Slide 1

Slide 1 ERAÜ XVII TALVEPÄEV 2015 Uue põlvkonna LDMOS transistorvõimendid 14.veebruar 2015 Mart Tagasaar, ES2NJ Sissejuhatus Alates 2010.aastast on turule ilmunud uue põlvkonna LDMOS transistorid võimsusega 600-1400W,

Rohkem

Microsoft Word - Errata_Andmebaaside_projekteerimine_2013_06

Microsoft Word - Errata_Andmebaaside_projekteerimine_2013_06 Andmebaaside projekteerimine Erki Eessaar Esimene trükk Teadaolevate vigade nimekiri seisuga 24. juuni 2013 Lehekülg 37 (viimane lõik, teine lause). Korrektne lause on järgnev. Üheks tänapäeva infosüsteemide

Rohkem

prakt8.dvi

prakt8.dvi Diskreetne matemaatika 2012 8. praktikum Reimo Palm Praktikumiülesanded 1. Kas järgmised graafid on tasandilised? a) b) Lahendus. a) Jah. Vahetades kahe parempoolse tipu asukohad, saame graafi joonistada

Rohkem

SK-3MD

SK-3MD SK-MD KENWOOD CORPORATION COMPACT DIGITAL AUDIO TEXT B60-57-0 0 MA (J) FE 00 JA 57/0-/JA** Page.07.00, :6 amadobe PageMaker 6.5J/PPC JA JA 6 5 5 6 8 55 56 58 60 6 6 66 67 68 69 69 7 7 76 0 5 8 0 7 8 5

Rohkem

EESTI STANDARD EVS-ISO/IEC/IEEE 15289:2013 This document is a preview generated by EVS SÜSTEEMI- JA TARKVARATEHNIKA Elutsükli infosaaduste (dokumentat

EESTI STANDARD EVS-ISO/IEC/IEEE 15289:2013 This document is a preview generated by EVS SÜSTEEMI- JA TARKVARATEHNIKA Elutsükli infosaaduste (dokumentat EESTI STANDARD SÜSTEEMI- JA TARKVARATEHNIKA Elutsükli infosaaduste (dokumentatsiooni) sisu Systems and software engineering Content of life-cycle information products (documentation) (ISO/IEC/IEEE 15289:2011)

Rohkem

Häälestusutiliit Kasutusjuhend

Häälestusutiliit Kasutusjuhend Häälestusutiliit Kasutusjuhend Autoriõigus 2007, 2008 Hewlett-Packard Development Company, L.P. Windows on Microsoft Corporationi USAs registreeritud kaubamärk. Käesolevas dokumendis sisalduvat teavet

Rohkem

E-õppe ajalugu

E-õppe ajalugu Koolituskeskkonnad MTAT.03.142 avaloeng Anne Villems September 2014.a. Põhiterminid Koolituskeskkonnad (Learning environments) IKT hariduses (ICT in education) E-õpe (e-learning) Kaugõpe (distance learning)

Rohkem

HAJUSSÜSTEEMID HAJUSSÜSTEEMID Kaugprotseduurid IDL kompileerimine ONCIDLnäide CORBAIDLnäide MIDLnäide DCERPCmuidomadusi XML-RPC JSON-RPC REST document

HAJUSSÜSTEEMID HAJUSSÜSTEEMID Kaugprotseduurid IDL kompileerimine ONCIDLnäide CORBAIDLnäide MIDLnäide DCERPCmuidomadusi XML-RPC JSON-RPC REST document Kaugprotseduurid IDL kompileerimine ONCIDLnäide CORBAIDLnäide MIDLnäide DCERPCmuidomadusi XML-RPC JSON-RPC REST document.idl IDL kompilaator document_cstub.o document.h document_sstub.o #include document_client.c

Rohkem

Juhend nutiterminali seadistamiseks ja kaardimaksete vastuvõtmiseks Ingenico Link/2500 ja icmp

Juhend nutiterminali seadistamiseks ja kaardimaksete vastuvõtmiseks Ingenico Link/2500 ja icmp Juhend nutiterminali seadistamiseks ja kaardimaksete vastuvõtmiseks Ingenico Link/2500 ja icmp Terminali seadistamine Lülita telefonis või tahvelarvutis (edaspidi telefonis) sisse Bluetooth. (1) 1 1 Mudel

Rohkem

Microsoft PowerPoint - BPP_MLHvaade_juuni2012 (2)

Microsoft PowerPoint - BPP_MLHvaade_juuni2012 (2) Balti pakendi protseduur MLH kogemus Iivi Ammon, Ravimitootjate Liit Ravimiameti infopäev 13.06.2012 Eeltöö ja protseduuri algus Päev -30 MLH esindajad kolmes riigis jõuavad arusaamani Balti pakendi protseduuri

Rohkem

DVD_8_Klasteranalüüs

DVD_8_Klasteranalüüs Kursus: Mitmemõõtmeline statistika Seminar IX: Objektide grupeerimine hierarhiline klasteranalüüs Õppejõud: Katrin Niglas PhD, dotsent informaatika instituut Objektide grupeerimine Eesmärk (ehk miks objekte

Rohkem

HAJUSSÜSTEEMID HAJUSSÜSTEEMID SOAP ja veebiteenused Web Services Web Services SOAP WSDL Ühilduvus UDDI Kihiline arhitektuur masinsuhtluse jaoks erinev

HAJUSSÜSTEEMID HAJUSSÜSTEEMID SOAP ja veebiteenused Web Services Web Services SOAP WSDL Ühilduvus UDDI Kihiline arhitektuur masinsuhtluse jaoks erinev SOAP ja veebiteenused Web Services Web Services SOAP WSDL Ühilduvus UDDI Kihiline arhitektuur masinsuhtluse jaoks erinevate süsteemide vahel Transport sõnumite edastamiseks: HTTP, SMTP, FTP, mistahes failiedastus,

Rohkem

“MÄLUKAS”

“MÄLUKAS” Hiiumaa Arenguseminar 2016 Mälu ja mõtlemine Juhi tähelepanu Tauri Tallermaa 27.oktoober 2016 Edu 7 tunnust Allikas: Anthony Robbins. Sisemine jõud 1. Vaimustus 2. Usk e veendumus 3. Strateegia 4. Väärtushinnangute

Rohkem

Andmeturve

Andmeturve SOAP ja veebiteenused Web Services SOAP WSDL Ühilduvus UDDI MEELIS ROOS 1 Web Services Kihiline arhitektuur masinsuhtluse jaoks erinevate süsteemide vahel Transport sõnumite edastamiseks: HTTP, SMTP, FTP,

Rohkem

12. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, Algfunktsioon ja määramata integraal Sisukord 12 Algfunktsioon ja määramata integraal 1

12. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, Algfunktsioon ja määramata integraal Sisukord 12 Algfunktsioon ja määramata integraal 1 2. Marek Kolk, Kõrgem matemaatika, Tartu Ülikool, 203-. 2 Algfunktsioon ja määramata integraal Sisukord 2 Algfunktsioon ja määramata integraal 9 2. Sissejuhatus................................... 50 2.2

Rohkem

loeng7.key

loeng7.key Grammatikate elustamine JFLAPiga Vesal Vojdani (TÜ Arvutiteaduse Instituut) Otse Elust: Java Spec https://docs.oracle.com/javase/specs/jls/se8/html/ jls-14.html#jls-14.9 Kodutöö (2. nädalat) 1. Avaldise

Rohkem

Microsoft Word - QOS_2008_Tallinn_OK.doc

Microsoft Word - QOS_2008_Tallinn_OK.doc GSM mobiiltelefoniteenuse kvaliteet Tallinnas, juuni 2008 Sideteenuste osakond 2008 Kvaliteedist üldiselt GSM mobiiltelefonivõrgus saab mõõta kümneid erinevaid tehnilisi parameetreid ja nende kaudu võrku

Rohkem

Peafail3_2.dvi

Peafail3_2.dvi TARTU ÜLIKOOL ARVUTITEADUSE INSTITUUT Algoritmid ja andmestruktuurid Ülesannete kogu Versioon 3.2 13. märts 2017. a. 09:45 Koostajad: Ahti Peder Jüri Kiho Härmel Nestra Tartu 2017 Käesoleva õppevahendi

Rohkem

Süsteemiintegratsioon

Süsteemiintegratsioon 2010 veebruar Ivo Mägi ivoätwebmediadotee Kes ma selline olen Kümme aastat tarkvaratööstuses Erinevad rollid, hetkel AS Webmedia tarkvara arendusjuht Kuus aastat Java SE/EE arendust Osalenud kokku 13 erineva

Rohkem

Materjalid koostas ja kursuse viib läbi Tartu Ülikooli arvutiteaduse instituudi programmeerimise õpetamise töörühm 2.1 Muutujad SISSEJUHATUS Programmi

Materjalid koostas ja kursuse viib läbi Tartu Ülikooli arvutiteaduse instituudi programmeerimise õpetamise töörühm 2.1 Muutujad SISSEJUHATUS Programmi 2.1 Muutujad SISSEJUHATUS Programmide koostamisel on võimalik kasutada väga erinevaid komponente näiteks muutujaid, valikulauseid, tsükleid, alamprogramme jne. Selles osas vaatame lähemalt muutujaid. MUUTUJAD

Rohkem

Microsoft Word - HOTSEC kasutusjuhend v1.900.docx

Microsoft Word - HOTSEC kasutusjuhend v1.900.docx HOTSEC Tarkvara kasutusjuhend v. 1.9 1 Sisukord Käivitamine:... 3 Programmi kasutamine... 4 Kasutajate lisamine ja eemaldamine:... 6 Jooksev logi:... 9 Häired:... 9 2 HOTSEC põhioperatsioonide kirjeldus

Rohkem

Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017

Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017 Tarkvaraline raadio Software defined radio (SDR) Jaanus Kalde 2017 Sissejuhatus Raadiosidest üldiselt Tarkvaraline raadio Kuidas alustada 2 Raadioside Palju siinussignaale õhus Info edastamiseks moduleerid

Rohkem

loeng2

loeng2 Automaadid, keeled, translaatorid Kompilaatori struktuur Leksiline analüüs Regulaaravaldised Leksiline analüüs Süntaks analüüs Semantiline analüüs Analüüs Masinkoodi genereerimine Teisendamine (opt, registrid)

Rohkem

Slide 1

Slide 1 Tasuvus Euroopa statistika tegevusjuhise RAHVUSVAHELIN E STATISTIKA-AASTA Tuulikki Sillajõe Peadirektori asetäitja Statistikanõukogu koosolekul, : tasuvus Ressursse kasutatakse tulemuslikult. Inglise keeles

Rohkem

PowerPoint-præsentation

PowerPoint-præsentation Columbus Eesti SaaS pilvepõhised lahendused ärikliendile Arne Kaasik Toomas Riismaa 30.04.2014 1 Millest juttu tuleb Miks me äritarkvaralahenduse pilve paneme kust raha tuleb? Mida Columbus on teinud ja

Rohkem

Microsoft PowerPoint - IRZ0020_praktikum4.pptx

Microsoft PowerPoint - IRZ0020_praktikum4.pptx IRZ0020 Kodeerimine i ja krüpteerimine praktikum 4 Julia Berdnikova, julia.berdnikova@ttu.ee www.lr.ttu.ee/~juliad l 1 Infoedastussüsteemi struktuurskeem Saatja Vastuvõtja Infoallikas Kooder Modulaator

Rohkem